FUJITSU MICROELECTRONICS PRODUCT GUIDE 2009.10 Product Guide [ ASSP*Memory*ASIC ] PG00-00091-3E Technical Documentation of Electronic Devices DATA BOOK PRODUCT GUIDE (DVD) (GENERAL) DATA SHEET MANUAL FUJITSU SEMICONDUCTOR DATA SHEET Semiconductor Data Book CD-ROM ACD-10131 CD-10131 MADE IN JAPAN AN for or Wind Windows ws / Macintosh DS04-27211-5E ASSP For Power Supply Applications ASSP FUJITSU SEMICONDUCTOR DATA SHEET BIPOLAR DS04-27211-5E Switching Regulator Controller (Supporting Synchronization) Power SupplyExternal Applications ASSP For FUJITSU SEMICONDUCTOR DATA SHEET BIPOLAR DS04-27211-5E MB3789 Switching Regulator Controller (Supporting Synchronization) ASSP For Power SupplyExternal Applications DESCRIPTION CD00-00031-3E BIPOLAR MB3789 The MB3789 is a PWM (pulse width modulation) switching regulator controller supporting an external sync signal. The MB3789 incorporates two error amplifiers which can be used respectively for voltage control and current control, allowing the IC to serve as a DC/DC converter with current regulating functions. The MB3789 is the ideal IC for supplying power to the back-lighting fluorescent tube for a liquid crystal display Switching Regulator Controller (Supporting External Synchronization) (LCD) device such as a camera-integrated VTR. Technical Communication Department, Electronic Devices FEATURES DESCRIPTION MB3789 The MB3789 is a PWM (pulse width modulation) switching regulator controller supporting * Wide range of operating power supply voltages: 3 an V toexternal 18 V sync signal. The MB3789 incorporates two error* amplifiers which can be used for voltage control and current Low current consumption: 1.5 respectively mA (Typ) control, allowing the IC to serve as a* DC/DC converter with current regulating functions. Wide input voltage range of error amplifier: -0.2 V to VCC - 1.8 V The MB3789 is the ideal IC for supplying power the amplifier back-lighting fluorescent tube for a liquid crystal display * Built-in two to error (LCD) device such as a camera-integrated VTR.capable of operating with an external sync signal * Oscillator * Built-in timer latch short protection circuit FEATURES * Variable dead time provides control over total operating range The MB3789 is a PWM (pulse width modulation) switching regulator controller * Wide range of operating power supplysupporting voltages: 3an V external to 18 V sync signal. * Output supporting a power MOSFET The MB3789 incorporates two error *amplifiers which can be used Low current consumption: 1.5respectively mA (Typ) for voltage control and current * 16-pin SSOP package mountable at high density control, allowing the IC to serve as a *DC/DC converter withrange current regulating functions. Wide input voltage of error amplifier: -0.2 V to VCC - 1.8 V The MB3789 is the ideal IC for supplying power the back-lighting fluorescent tube for a liquid crystal display * Built-in twotoerror amplifier PACKAGE (LCD) device such as a camera-integrated VTR. capable of operating with an external sync signal * Oscillator DESCRIPTION * Built-in timer latch short protection circuit * Variable dead time provides control over total operating range Wide range of operating power supply voltages: 3 V to 18 V * Output supporting a power MOSFET Low current consumption: 1.5 mA (Typ) * 16-pin SSOP package mountable at high density Wide input voltage range of error amplifier: -0.2 V to VCC - 1.8 V Built-in two error amplifier PACKAGE Oscillator capable of operating with an external sync signal Built-in timer latch short protection circuit 16-pin Plastic SSOP Variable dead time provides control over total operating range Output supporting a power MOSFET 16-pin SSOP package mountable at high density 16-pin Plastic SSOP FEATURES * * * * * * * * * (FPT-16P-M05) PACKAGE 16-pin Plastic SSOP (FPT-16P-M05) (FPT-16P-M05) [ASSP*Memory*ASIC] FUJITSU SEMICONDUCTOR DATA SHEET DS05-11440-2E MEMORY Memory CMOS FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET MEMORY DS05-11440-2E 128 M-BIT (4-BANK x 1 M-WORD x 32-BIT) SINGLE DATA RATE I/F FCRAMTM MEMORY Consumer/Embedded Application Specific Memory for SiP CMOS DS05-11440-2E MB81ES123245-10 128 M-BIT (4-BANK x 1 M-WORD x 32-BIT) SINGLE DATA RATE I/F FCRAMTM DESCRIPTION Consumer/Embedded Application Specific Memory for SiP The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*) containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchronous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which CMOS MB81ES123245-10 128 M-BIT (4-BANK x 1 M-WORDenables x 32-BIT) high performance and simple user interface coexistence. The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power SINGLE DATA RATE I/F FCRAMTM consumption and low voltage operation than regular synchronous DRAM (SDRAM) . DESCRIPTION Consumer/Embedded Application Specific Memory for SiP The MB81ES123245 is dedicated for SiP (System in a Package) , and ideally suited for various embedded/ The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*) consumer applications including digital AVs and image processing where a large band width and low power containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchroconsumption memory is needed. nous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which * : FCRAM a trademark of Fujitsu Limited, Japan. enables high performance and simple user isinterface coexistence. MB81ES123245-10 The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power consumption and low voltage operation than regular synchronous DRAM (SDRAM) . DESCRIPTION The MB81ES123245 is dedicatedPRODUCT for SiP (System in a Package) , and ideally suited for various embedded/ LINEUP The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*) consumer applications including digital AVs and image processing where a large band width and low power containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchroconsumption memory is needed. Parameter MB81ES123245-10 nous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which CL = 2 54 MHz * : FCRAM is a trademark of Fujitsu Limited, Japan. enables high performance and simple user interface coexistence. Clock Frequency (Max) CL = 3 108 MHz The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power consumption and low voltage operation than regular synchronous DRAM (SDRAM) . CL = 2 Mode Cycle (Min) embedded/ The MB81ES123245 is dedicated for SiP (System in a Package) ,Burst and ideally suitedTime for various PRODUCT LINEUP CL = 3 consumer applications including digital AVs and image processing where a large band width and low power CL consumption memory is needed. Parameter MB8 1E=S2 123245-10 Access Time from CLK (Max) CL5=4 3MHz CL = 2 * : FCRAM is a trademark of Fujitsu Limited, Japan. Clock Frequency (Max) Operating CCL urre=nt3(Max) (64 page length) 108 MHz Power DownCL Mode = 2 Current (Max) (IDD2PS) 1 8 . 5 ns Burst Mode Cycle Time (Min) Self-RefreshCL Current Tj = +359.C PRODUCT LINEUP = 3 (Max) 2 nMsax Parameter Clock Frequency (Max) Burst Mode Cycle Time (Min) MB81CL ES=1223245-10 Access Time from CLK (Max) CL CL = 2 54 =M3Hz Operating CL Cur=re3nt (Max) (64 page length) 108 MHz 9.2 ns 9 ns 7 ns 35 m A 0.5 mA 200 A 7 ns 0.5 mA 1 8.5 n s Tj = +352C 200 A nsMax LIMITED All rights reserved Copyright(c)20069.FUJITSU 9 ns Self-Refresh (Max) CLCurrent =3 CL = 2 Access Time from CLK (Max) CL = 3 7 ns Operating Current (Max) (64 page length) 35 m A Power Down Mode Current (Max) (IDD2PS) 0.5 mA Self-Refresh Current (Max) 1 8.5 n s 9 ns 35 mA Power Down CL Mode = 2 Current (Max) (IDD2PS) Tj = +35 C Max 200 A Copyright(c)2006 FUJITSU LIMITED All rights reserved Copyright(c)2006 FUJITSU LIMITED All rights reserved FUJITSU SEMICONDUCTOR DATA SHEET DS06-20210-2E Semicustom ASIC FUJITSU SEMICONDUCTOR DATA SHEET DS06-20210-2E CMOS Semicustom Standard Cell FUJITSU SEMICONDUCTOR DATA SHEET Semicustom CMOS CS101 Series DS06-20210-2E Standard CellDESCRIPTION CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user's demands for lower power consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three types of core transistors with a different threshold voltage can be mixed according to user application. CS101 Series CMOS The design rules match industry standards, and a wide range of IP macros are available for use. Standard Cell DESCRIPTION As well as providing a maximum of 100 million gates, approximately twice the level of integration achieved in previous products, the power consumption per gate is also reduced by about half to 2.7 nW. Also, using the highspeed libraryisincreases speed a factor of approximately with a gate delay time of 12 ps. CS101 series, a 90 nm standard cell product, a CMOS the ASIC that by satisfies user's demands for1.3, lower power consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three types of core transistors with a different threshold voltage can be mixed according to user application. CS101 Series FEATURES The design rules match industry standards, and a wide range of IP macros are available for use. * Technology : 90 nm Si gate CMOS As well as providing a maximum of 100 million gates, approximately twice level of integration achieved in 7- to the 10-metal layers. previous products, the power consumption per gate is also reduced by about half to 2.7 nW. Also, using the highDESCRIPTION Low-K (low permittivity) material is used for all dielectric inter-layers. speed library increases the speed by a factor of approximately 1.3, with a gate delay time of 12 ps. Three different types of core transistors (low leak, standard, and high speed) CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user's demands for lower power can be used on the same chip. consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three The design rules comply with industry standard processes. types of core transistors with a different threshold voltage can be mixed according to user application. FEATURES * Power supply for voltage : +1.2 V 0.1 V (standard) The design rules match industry standards, and a wide range of IP macros use. * Technology : 90are nmavailable Si gate CMOS * Operation junction temperature : - 40 C to + 125 C (standard) 7- the to 10-metal layers. As well as providing a maximum of 100 million gates, approximately twice level integration achieved in = 12 ps (1.2 V, Inverter, F/O = 1) * Gate delayoftime : tpd Low-K (low material is used for all dielectric inter-layers. previous products, the power consumption per gate is also reduced by about half to 2.7permittivity) nW. Also, using the high* Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, 2 NAND, F/O = 1) speed library increases the speed by a factor of approximately 1.3, with a gate timetypes of 12of ps. Threedelay different core transistors (low leak, standard, and high speed) * High level of integration : Up to 91 million gates can be used on the same chip. * Reduced chip sized realized by I/O with pad. The design rules comply with industry standard processes. * Support for a wide range of cell sets (from low power versions to ultra high speed versions). FEATURES * Power supply voltage : +1.2 V 0.1 V (standard) * Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incorpo* Technology : 90* nm Si gate junction CMOS temperature : - 40 C to + 125 C (standard) Operation rated. 7-*toGate 10-metal delaylayers. time : tpd = 12 ps (1.2 V, Inverter, F/O = 1) * Compiled cell (RAM, ROM, others) Low-K (low permittivity) material is used all dielectric inter-layers. * Gate power consumption : Pdfor = 2.7 nW/MHz/BC (1.2 V, 2 NAND, F/O = 1) * Support for ultra high speed (up to 10 Gbps) interface macros. Three different types of core transistors (low standard, * High level of integration : Up to leak, 91 million gatesand high speed) * Special interfaces (LVDS, SSTL2, etc.) can be used on thesized samerealized chip. by I/O with pad. * Reduced chip * Supports use of industry standard libraries (.LIB). The design rules with of industry standard processes. * Support for a comply wide range cell sets (from low power versions to ultra high speed versions). * Uses industry standard tools and supports the optimum tools for the application. * Power supply voltage : +1.2 V 0.1 V (standard) * Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incorpo(Continued) * Operation junction temperature : - 40rated. C to + 125 C (standard) * Gate delay time : tpd* =Compiled 12 ps (1.2 V,(RAM, Inverter, F/O others) = 1) cell ROM, * Gate power consumption : Pd* =Support 2.7 nW/MHz/BC (1.2speed V, 2 NAND, = 1) interface macros. for ultra high (up to F/O 10 Gbps) * High level of integration : Up* to 91 million gates (LVDS, SSTL2, etc.) Special interfaces * Reduced chip sized realized by I/O with pad. use of industry standard libraries (.LIB). * Supports * Support for a wide range of cell sets*(from powerstandard versions tools to ultra speed the versions). Useslow industry andhigh supports optimum tools for the application. * Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incorpo(Continued) rated. * Compiled cell (RAM, ROM, others) * Support for ultra high speed (up to 10 Gbps) interface macros. * Special interfaces (LVDS, SSTL2, etc.) * Supports use of industry standard libraries (.LIB). * Uses industry standard tools and supports the optimum tools for the application. (Continued) FUJITSU SEMICONDUCTOR DATA SHEET DS07-12614-2E 8-bit Proprietary Microcontrollers Microcontroller FUJITSU SEMICONDUCTOR DATA SHEET DS07-12614-2E CMOS 2MC-8FX MB95100AM Series 8-bit ProprietaryF Microcontrollers FUJITSU SEMICONDUCTOR DATA SHEET MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/ DS07-12614-2E MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/ MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103 CMOS 2 MC-8FX MB95100AM Series DESCRIPTION 8-bit ProprietaryFMicrocontrollers The MB95100AM series is general-purpose, single-chip microcontrollers. In addition to a compact instruction set, the microcontrollers contain a variety of peripheral functions. MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/ MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/ Note : F2MC is the abbreviation of FUJITSU Flexible Microcontroller. MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103 CMOS F2MC-8FX MB95100AM Series FEATURE DESCRIPTION * F MC-8FXsingle-chip CPU coremicrocontrollers. In addition to a compact instruction set, The MB95100AM series is general-purpose, 2 Instruction set optimized the microcontrollers contain a variety of peripheral functions.for controllers MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/ * Multiplication and division instructions MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/ Note : F2MC is the abbreviation of FUJITSU Flexible Microcontroller. * 16-bit arithmetic operations MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103 * Bit test branch instruction * Bit manipulation instructions etc. FEATURE DESCRIPTION * Clock * F2MC-8FX CPU core Main clock The MB95100AM series is general-purpose, single-chip microcontrollers. In* addition to a compact instruction set, set functions. optimized for controllers* Main PLL clock the microcontrollers contain a varietyInstruction of peripheral * Multiplication and division instructions * Sub clock (for dual clock product) Flexible Microcontroller. Note : F2MC is the abbreviation of FUJITSU * 16-bit arithmetic operations * Sub PLL clock (for dual clock product) * Bit test branch instruction (Continued) * Bit manipulation instructions etc. FEATURE * Clock * F2MC-8FX CPU core * Main clock Instruction set optimized for controllers* Main PLL clock * Multiplication and division instructions * Sub clock (for dual clock product) * 16-bit arithmetic operations * Sub PLL clock (for dual clock product) * Bit test branch instruction (Continued) * Bit manipulation instructions etc. Be sure to refer to the "Check Sheet" for the latest cautions on development. * Clock "Check Sheet" is seen at the following support page * Main clock URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html * Main PLL clock * Sub clock (for dual clock product) "Check Sheet" lists the minimal requirement items to be checked to prevent problems beforehand in system * Sub PLL clock (for dual clock product) development. (Continued) Be sure to refer to the "Check Sheet" for the latest cautions on development. "Check Sheet" is seen at the following support page Copyright(c)2006 FUJITSU LIMITED All rights reserved URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html "Check Sheet" lists the minimal requirement items to be checked to prevent problems beforehand in system development. Be sure to refer to the "Check Sheet" for the latest cautions on development. "Check Sheet" is seen at the following support page Copyright(c)2006 FUJITSU LIMITED All rights reserved URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html "Check Sheet" lists the minimal requirement items to be checked to prevent problems beforehand in system development. Copyright(c)2006 FUJITSU LIMITED All rights reserved LOW PROFILE QUAD FLAT PACKAGE FUJITSU SEMICONDUCTOR DATA SHEET Package 64 PIN PLASTIC LOW PROFILE QUAD FLAT PACKAGE FPT-64P-M09 64 PIN PLASTIC FUJITSU SEMICONDUCTOR DATA SHEET 64-pin plastic LQFP Lead pitch LOW PROFILE QUAD FLAT PACKAGE FPT-64P-M09 64 PIN PLASTIC FUJITSU SEMICONDUCTOR DATA SHEET 0.65 mm Package width x package length 12 x 12 mm Lead shape 64-pin plastic LQFP FPT-64P-M09 Lead pitch 0.65 mm Package width x package length 12 x 12 mm Lead shape 64-pin plastic LQFP Lead pitch 0.65 mm Package width x package length 12 x 12 mm Gullwing 64-pin plastic LQFPCode (Reference) (FPT-64P-M09) Plastic mold Sealing method (FPT-64P-M09) Gullwing Plastic mold 1.70 mm MAX Code (Reference) P-LQFP64-12x12-0.65 Gullwing Sealing method (FPT-64P-M09) Plastic mold Mounting height Lead shape Sealing method Mounting height 1.70 mm MAX Note 1) * : These dimensions do not include resin protrusion. P-LQFP64-12x12-0.65 Note 2) Pins width and pins thickness include plating thickness. Note 3) Pins width do not include tie bar cutting remainder. 14.000.20(.551.008)SQ Mounting height Code 64-pin plastic LQFP (Reference) (FPT-64P-M09) 1.70 mm MAX * 12.000.10(.472.004)SQ 0.1450.055 (.0057.0022) 48 33 Note 1) * : These dimensions do not include resin protrusion. P-LQFP64-12x12-0.65 Note 2) Pins width and pins thickness include plating thickness. Note 3) Pins width do not include tie bar cutting remainder. 49 32 (FPT-64P-M09) 0.10(.004) 14.000.20(.551.008)SQ * 12.000.10(.472.004)SQ 48 64-pin plastic LQFP (FPT-64P-M09) +0.20 1.50 -0.10 +.008 .059 -.004 0~8 0.10(.004) 64 +0.20 1.50 -0.10 +.008 1 .059 -.004 0.65(.026) 32 INDEX Details of "A" part +.008 .059 -.004 0.65(.026) INDEX "A" Dimensions in mm (inches). C 2003 FUJITSU LIMITED F64018S-c-3-5 "A" 16 0212 17 1 16 0.65(.026) 0.320.05 (.013.002) Dimensions in mm (inches). Note: The values in parentheses are reference values. 0.500.20 0.100.10 C 2003 FUJITSU LIMITED F64018S-c-3-5 (.020.008) (.004.004) "A" (Stand off) 0.600.15 The contents of this document are subject to change without notice. (.024.006) Customers are M advised to consult with FUJITSU sales representatives before ordering. 0.13(.005) FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document. 0212 2003 FUJITSU LIMITED F64018S-c-3-5 0.100.10 (.004.004) (Stand off) 17 (Mounting height) 0~8 64 0.500.20 (.020.008) 0.600.15 (.024.006) M Note: The values in parentheses are reference values. 0.500.20 0.100.10 (.020.008) (.004.004) (Stand off) 0.600.15 The contents of this document are subject to change without notice. (.024.006) advised to consult with FUJITSU sales representatives before ordering. 0.25(.010) Customers are 0.320.05 M 0.13(.005) FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document. (.013.002) +0.20 1.50 -0.10 1 (Mounting height) 16 0.320.05 0.25(.010) 0.13(.005) (.013.002) 0~8 0.10(.004) 64 17 Details of "A" part 0.1450.055 (.0057.0022) 33 49 C (Mounting height) 0.25(.010) INDEX 14.000.20(.551.008)SQ * 12.000.10(.472.004)SQ 48 Details of "A" part 0.1450.055 (.0057.0022) 33 Note 1) * : These dimensions do not include resin protrusion. Note 2) Pins width and pins thickness include plating thickness. Note 3) Pins width do not include tie bar cutting remainder. 49 32 Dimensions in mm (inches). Note: The values in parentheses are reference values. The contents of this document are subject to change without notice. Customers are advised to consult with FUJITSU sales representatives before ordering. FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document. 0212 Contents Memory .........................................................................................................45 Mobile FCRAM (Fast Cycle RAM) .............................................................................................................46 Consumer FCRAM (Fast Cycle RAM) .......................................................................................................48 FRAM.........................................................................................................................................................50 Flash Memory *..........................................................................................................................................52 Products Scheduled to be out of Production..............................................................................................62 ASIC ..............................................................................................................63 Standard Cell .............................................................................................................................................64 Macro-Embedded Type Cell Arrays ..........................................................................................................71 Sea-of-Gate Type CMOS Gate Arrays .....................................................................................................80 Package Line-up...........................................................................................84 Index..............................................................................................................86 * : SPANSION TM Products Memory ASIC Telephone Products.....................................................................................................................................2 Mobile, Wireless Communication Products .................................................................................................4 Communication Control .............................................................................................................................14 Communication Network............................................................................................................................14 Display Control Products ...........................................................................................................................16 Video/Audio Products ................................................................................................................................18 Digital Demodulator ...................................................................................................................................20 ISDB-T OFDM............................................................................................................................................20 Video Encoder, Decoder............................................................................................................................20 Power Management Applications ..............................................................................................................22 Motor Drivers .............................................................................................................................................34 RFID (FerVID family) ................................................................................................................................34 General-Purpose Converter.......................................................................................................................36 SD/SDHC card...........................................................................................................................................38 Spread Spectrum Clock Generator............................................................................................................40 ASSP ASSP ...............................................................................................................1 Trademarks Trademarks: * Ethernet is a registered trademark of XEROX Corporation in the United States. * FCRAM is a trademark of Fujitsu Microelectronics Limited, Japan. * FerVID family is a trademark of Fujitsu Microelectronics Limited, Japan. * MirrorBit is a trademark of Spansion Inc. * SPANSION is a trademark of Spansion Inc. * Amplify is a registered trademark of Synplicity, Inc. Other company names and brand names are the trademarks or registered trademarks of their respective owners. ASSP Product Line-up ASSP Product Line-up ASSP Telecom Mobile, Wireless communication Communication Control Communication Network Communication Control Communication Network Video Equipment Products Power Management Applications Telephones 2 PLL Frequency Synthesizers 4 Short range wireless 8 VCO, Transmitter Module, SAW Duplexer 10 SAW Filter 12 Dual SAW Filter 12 ISDN 14 IP Packet Processing Engine 14 High-speed IPsec Processing Engine 14 LAN 14 Display Control Products 16 Video/Audio Products 18 Digital Demodulator 20 ISDB-T OFDM 20 Video Encoder, Decoder 20 AC/DC Converters 22 General Purpose DC/DC Converters 22 DC/DC Converters with Switching FET 24 DC/DC Converters with Switching FET + LDO 24 Power Management IC for Portable Products 24 DSC/Camcorder DC/DC Converters 26 Charge Control 28 DC/DC Converters for UMPC 30 Voltage Detectors 32 Supply Voltage Monitoring Applications 32 Power Management Switches 32 LCD Panel 32 Motor Drivers 34 RFID (FerVID family) 34 General-Purpose Converter 36 SD/SDHC card 38 Spread Spectrum Clock Generator 40 ASSP Page No. 1 Telephone Products Telephones Part number ISDN telephones digital Digital telephones mobile 2 Serial MB86434 Features * AIU LSI for ISDN digital telephone *: Audio Interface Unit MB86435 3 V single power supply AIU MB86437 3 V single power supply AIU Telephone Products Telephone Products ISDN Digital Telephone LSIs MB86434 CODEC Power supply voltage (V) Package A-laW -laW 14-bit linear +55% 64P Functions AIU for ISDN digital telephones CODEC, DTMF tones, service tone Internal ringer tone QFP ASSP Part number Package: P - Plastic LSIs for Digital Mobile Telephones Part number Functions MB86435 3 V single power supply AIU MB86437 Compression law Power supply voltage (V) A-laW -laW linear 2.7 to 3.6 Package LQFP 64P 48P Package: P - Plastic 3 Mobile, Wireless Communication Products Mobile, Wireless Communication PLL Frequency Synthesizers Low Noize Single Integer-N PLL Single Integer-N PLL Input frequency band of prescaler PLL type Prescaler divide ratio Part number Features 100 MHz to 2.5 GHz RF Integer-N 32/33, 64/65 MB15E07SR For digital telecommunications equipment, Low noise 700 MHz to 3.0 GHz RF Integer-N 64/65, 128/129 MB15E06SR For digital telecommunications equipment, Low noise 300 MHz to 2.0 GHz RF Integer-N 64/65, 128/129 MB15E05SR For digital telecommunications equipment, Low noise 700 MHz to 2.5 GHz RF Integer-N 32/33, 64/65 MB15E07SL For digital telecommunications equipment, Low power dissipation 100 MHz to 2.0 GHz RF Integer-N 64/65, 128/129 MB15E05SL For digital telecommunications equipment, Low power dissipation 100 MHz to 1.2 GHz RF Integer-N 64/65, 128/129 MB15E03SL For digital telecommunications equipment, Low power dissipation Integer-N :Integer-N technology Sigma-Delta:Sigma-Delta fractional-N technology (Continued) 4 (Continued) Mobile, Wireless Communication Products Mobile, Wireless Communication Products PLL Frequency Synthesizers * Low Noize Single Integer-N PLL MB15E07SR MB15E06SR MB15E05SR Power Power Power supply voltage supply save PLL (V) current current Type typ typ Program Swallow Reference min max Prescaler (mA) (A) min typ max counter counter counter Divide ratio 32/33, 64/65 Integer 64/65, 700M 3.0G 128/129 -N 64/65, 300M 2.0G 128/129 100M 2.5G Binary 11bit 3 to 2047 Binary Binary 14bit 7bit 0 to 127 3 to 16383 Package BCC TSSOP 8.0 0.1 2.7 3.75 5.0 16P 16P 8.0 0.1 2.7 3.0 4.0 16P 16P 7.0 0.1 2.7 3.75 5.0 16P 16P Package: P - Plastic * Single Integer-N PLL Part number MB15E07SL MB15E05SL MB15E03SL Input frequency band (Hz) PLL Type Power Power Power supply Package voltage supply save (V) current current typ typ Swallow Reference (mA) (A) min typ max SSOP BCC counter counter Divide ratio min max Prescal er 700M 2.5G 32/33, 64/65 Program counter Binary Binary Binary 7bit Integer 64/65, 14bit 11bit 2.0G 0 to 127 -N 128/129 3 to 16383 3 to 2047 100M 64/65, 1.2G 128/129 4.5 0.1 2.4 3.0 3.6 16P 16P 3.5 0.1 2.4 3.0 3.6 16P 16P 2.5 0.1 2.4 3.0 3.6 16P 16P Package: P - Plastic 5 ASSP Part number Input frequency band (Hz) Mobile, Wireless Communication Products (Continued) (Continued) Input frequency band of prescaler Dual Integer-N PLL 400 MHz to 2.6 GHz 100 MHz to 1.2 GHz 2.0 GHz to 6.0 GHz 100 MHz to 1.5 GHz PLL type Prescaler divide ratio RF Integer-N 32/33, 64/65 IF Integer-N 16/17, 32/33 RF Integer-N 16/17, 32/33 IF Integer-N 4/5, 8/9 Part number Features MB15F78UL For digital telecommunications equipment Low noise Low power dissipation MB15F76UL For digital high-speed telecommunications equipment (Fixed part 4 division) 2.0 GHz to 4.0 GHz 200 MHz to 2.0 GHz RF Integer-N 64/65, 128/129 Small Package MB15F74UV IF Integer-N 32/33, 64/65 MB15F74UL 200 MHz to 2.25 GHz 50 MHz to 600 MHz RF Integer-N 64/65, 128/129 8/9, 16/17 MB15F73UL 100 MHz to 1.3GHz 50 MHz to 350 MHz 100 MHz to 1.1GHz 100 MHz to 1.1GHz RF Integer-N 64/65, 128/129 8/9, 16/17 For digital high-speed telecommunications equipment For digital high-speed telecommunications equipment MB15F72UL For digital high-speed telecommunications equipment MB15F07SL For digital high-speed telecommunications equipment Low noise RF Integer-N 64/65, 128/129 IF Integer-N 64/65, 128/129 For digital high-speed telecommunications equipment Small Package MB15F72UV IF Integer-N For digital high-speed telecommunications equipment Small Package MB15F73UV IF Integer-N For digital high-speed telecommunications equipment Integer-N :Integer-N technology Sigma-Delta:Sigma-Delta fractional-N technology (Continued) 6 (Continued) Mobile, Wireless Communication Products * Dual Integer-N PLL min max Power supply Power Power voltage Package supply save (V) current current typ typ Program Swallow Referenc (mA) (A) min typ max BCC TSSOP counter counter e counter Divide ratio PLL Type Prescaler 6.5 2.5 0.1 0.1 2.7 3.0 3.6 18P - 2.0 1.2 0.1 0.1 2.4 2.7 3.6 18P - 1.5 1.0 0.1 0.1 2.4 2.7 3.6 18P - Binary Binary Binary 14bit 7bit 11bit 3 to 2047 0 to 127 3 to 16383 2.8 1.7 0.1 0.1 2.4 2.7 3.6 20P 20P Binary Binary 14bit 5bit 0 to 31 3 to 16383 6.2 2.3 0.1 0.1 2.5 3.0 3.6 20P - RF : 64/65,128/129 IF : 32/33,64/65 6.5 2.5 0.1 0.1 2.7 3.0 3.6 20P - 200M 2.25G 50M 600M Binary Binary Binary RF : 64/65,128/129 14bit 7bit 11bit IF : 8/9,16/17 3 to 2047 0 to 127 3 to 16383 2.0 1.2 0.1 0.1 2.4 2.7 3.6 20P 20P MB15F72UL 100M 1.3G 50M 350M RF : 64/65,128/129 IF : 350M: 8/9,16/17 1.5 1.0 0.1 0.1 2.4 2.7 3.6 20P 20P MB15F07SL 100M 1.1G 100M 1.1G 64/65,128/129 64/65,128/129 5.5 5.5 0.1 0.1 2.5 3.0 3.6 16P 16P MB15F74UV 2.0G 4.0G 200M 2.0G RF : 64/65, 128/129 IF : 32/33, 64/65 MB15F73UV 200M 2.25G 50M 600M RF : 64/65, 128/129 IF : 8/9, 16/17 MB15F72UV 100M 1.3G 50M 350M RF : 64/65, 128/129 IF : 8/9, 16/17 MB15F78UL 400M 2.6G 100M 1.2G RX : 32/33, 64/65 TX : 16/17, 32/33 MB15F76UL 2.0G 6.0G Integer (Fixed part 4 division) -N IF : 4/5, 8/9 100M 1.5G MB15F74UL 2.0G 4.0G 200M 2.0G MB15F73UL RF : 16/17, 32/33 Binary Binary Binary 14bit 7bit 11bit 3 to 2047 0 to 127 3 to 16383 Binary 13bit (Fixed part 4 division) 3 to 8191 Binary Binary Binary 14bit 7bit 11bit 3 to 2047 0 to 127 3 to 16383 Package: P - Plastic 7 ASSP Part number Input frequency band (Hz) Mobile, Wireless Communication Products (Continued) (Continued) Single SigmaDelta FractionalN PLL Single Sigma-Delta Fractional-N PLL (RF) & Integer-N PLL (IF) Input frequency band of prescaler PLL type Prescaler divide ratio 100 MHz to 2.0 GHz RF Sigma-Delta 16/17 100 MHz to 3.5 GHz RF Sigma-Delta 100MHz to 2.0 GHz RF Sigma-Delta 16/17, 20/21 50 MHz to 600MHz IF Short range wireless 16/17 Part number MB15E65UV MB15E64UV 8/9, 16/17 430 MHz MB15H121 (Continued) 8 High-speed lock-up/Low noize Modulo : 218/ 215 High-speed lock-up/Low noize Modulo : 218/ 215 High-speed lock-up MB15F63UL Integer-N Features Modulo : 220 LPF switch Prescaler divide ratio 8/9 PA, PLL, FSK-MOD, LNA, MIXER, LIMAMP, RSSI, FSK-DEM, VCO TANK circuit (internal) Mobile, Wireless Communication Products Part number Input frequency band (Hz) min Divide ratio PLL Type max MB15E65UV 100 M 2.0 G Prescaler SigmaDelta MB15E64UV 100 M 3.5 G Program counter Swallow counter Power supply Power Power voltage Package supply save (V) current current typ typ Reference (mA) (A) min typ max BCC counter 16/17 Binary 8 bit Binary 4 bit Binary 6 bit 9 to 255 0 to 15 1 to 63 4.9 0.1 2.7 3.0 3.3 18P 16/17 Binary 8 bit Binary 4 bit Binary 6 bit 9 to 255 0 to 15 1 to 63 4.9 0.1 2.7 3.0 3.3 18P Package: P - Plastic * Single Sigma-Delta Fractional-N PLL (RF) & Integer-N PLL (IF) Part number Input frequency band (Hz) min Divide ratio PLL Type max Prescaler Sigma 100M 2.0G -Delta, RF : 16/17, 20/21, MB15F63UL 50M 600M Integer IF : 8/9,16/17 -N Program counter Swallow counter Reference counter Binary 7bit 5 to 127(RF) Binary 11bit 3 to 2047(IF) Binary 4bit 0 to 15(RF) Binary 7bit 0 to 127(IF) Binary 6bit 1 to 63(RF) Binary 14 bit 3 to 16383(IF) Power Power Power supply Package supply save voltage (V) current current typ typ (mA) (A) min typ max BCC 6.1 1.4 0.1 0.1 2.7 3.0 3.3 20P Package: P - Plastic * Specific power saving communication Part number MB15H121 Application Telemeter telecontroller security Frequency band (MHz) 430 Functions Prescaler divide ratio 8/9 PA, PLL, FSK-MOD, LNA, MIXER, LIMAMP, RSSI, FSK-DEM, VCO TANK circuit (internal) Power supply current typ (mA) 6.7 (PLL) 23.0 (TX) 5.0 (RX) Power Power supply save voltage (V) current typ (A) min typ max 0.3 2.2 2.5 2.8 Package LQFP 48P Package: P - Plastic 9 ASSP * Single Sigma-Delta Fractional-N PLL Mobile, Wireless Communication Products (Continued) VCO * Single Type (700 MHz to 2500 MHz) Dual Type (800 MHz to 2500 MHz) Transmitter Module * Single Type (824MHz to 1780MHz) Single Type (824MHz to 1980MHz) SAW Duplexer * Application Part number CDMA, GSM, PCS, PHS VC-90 series Compact type with wide variable frequency band V10x series Ultra Compact type with wide variable frequency band V08 series Compact dual band type with band selection function V09 series Compact dual band type with band selection function CDMA (CELL band) (K-PCS band) T021 series Built in Duplexer, PowerAmp and Band Pass Filter W-CDMA I, V, VIII T031 series Built in Duplexer, PowerAmp and Band Pass Filter CDMA, PCS, GSM Size (mm) Part number 3.0 x 2.5 D5GA series D5GF series CDMA/W-CDMA V D5GD series D5GK series W-CDMA VIII D5GC series J-CDMA (27MHz) D6GQ series D6GZ series US-PCS/W-CDMA II D5JB series CDMA/W-CDMA V 2.5 x 2.0 (Continued) 10 Features Correspondence system *: Product of FUJITSU MEDIA DEVICES LIMITED Mobile, Wireless Communication Products VCO Functions VC-90 series V10x series V08 series Application Frequency (MHz) Power supply voltage (V) CDMA, GSM, PCS, PHS 700 to 2500 2.5 to 3.3 5.0 x 4.0 x 1.55 4.5 x 3.2 x 1.5 Voltege Controlled Oscillator CDMA, PCS, GSM V09 series 800 to 2500 Package Typ. (mm) 5.5 x 4.8 x 1.8 2.8 5.0 x 4.0 x 1.4 (Product of FUJITSU MEDIA DEVICES LIMITED) Transmitter Module Part number Functions T021 series Built in Duplexer, PowerAmp and Band Pass Filter T031 series Built in Duplexer, PowerAmp and Band Pass Filter Application Frequency (MHz) CDMA (CELL band) 824 to 849 CDMA (K-PCS band) 1750 to 1780 W-CDMA I 1920 to 1980 W-CDMA V 824 to 849 W-CDMA VIII 880 to 915 Power supply voltage (V) Package Typ. (mm) 3.4 8.0 x 5.0 x 1.4 3.4 7.0 x 4.0 x 1.2 (Product of FUJITSU MEDIA DEVICES LIMITED) SAW Duplexer for Mobile Communication System Correspondence system CDMA/W-CDMA V W-CDMA VIII J-CDMA (27MHz) US-PCS/W-CDMA II Size (mm) Part Number Remarks 3.0 x 2.5 FAR-D5GA-881M50-D1AA 3.0 x 2.5 FAR-D5GF-881M50-D1FB Rx: Balanced 100 ohm 2.5 x 2.0 FAR-D5JB-881 M50-D3AA Two types of package are available 3.0 x 2.5 FAR-D5GK-942M50-D1KF 3.0 x 2.5 FAR-D5GD-942M50-D1DF 3.0 x 2.5 FAR-D5GC-911M50-D1CA 3.0 x 2.5 FAR-D6GQ-1G9600-D1QBQ Rx: Balanced 100 ohm 3.0 x 2.5 FAR-D6GZ-1G9600-D1ZA Two types of package are available Two types of package are available Rx: Balanced 100 ohm - (Product of FUJITSU MEDIA DEVICES LIMITED) 11 ASSP Part number Mobile, Wireless Communication Products (Continued) SAW Filter * Dual SAW Filter * Unbalance/ balance Size (mm) Unbalance 1.4 x 1.0 Balance 1.4 x 1.0 Unbalance Balance Part number Correspondence system F5/F6KA series CDMA/W-CDMA V, GSM850, EGSM, J-CDMA, DCS, US-PCS/W-CDMA II, GSM1900, W-CDMA I, GPS, W-LAN F5/F6KB series CDMA/W-CDMA V, GSM850, EGSM, J-CDMA, DCS, US-PCS/W-CDMA II, GSM1900, W-CDMA I, GPS, W-LAN 1.8 x 1.4 G5KL series G5KK series J-CDMA 2.0 x 1.6 G5/G6KE series CDMA + PCS 1.8 x 1.4 G5/G6KG series W-CDMA I + V, EGSM + DCS, GSM850, GSM1900 GSM850 + EGSM, DCS + GSM1900 *: Product of FUJITSU MEDIA DEVICES LIMITED 12 Mobile, Wireless Communication Products SAW Filter for Mobile Communication System Transmission/ Size (mm) Reception Transmission 1.4 x 1.0 Reception 1.4 x 1.0 Transmission Reception Transmission 1.4 x 1.0 1.4 x 1.0 1.4 x 1.0 Reception 1.4 x 1.0 - 1.4 x 1.0 Transmission 1.4 x 1.0 Reception 1.4 x 1.0 Transmission 1.4 x 1.0 Reception 1.4 x 1.0 Transmission 1.4 x 1.0 Reception 1.4 x 1.0 Reception 1.4 x 1.0 Transmission 1.4 x 1.0 Reception 1.4 x 1.0 TD-SCDMA - 1.4 x 1.0 W-LAN - 1.4 x 1.0 CDMA/W-CDMA V GSM850 EGSM GPS W-CDMA IX DCS US-PCS/W-CDMA II GSM1900 W-CDMA I Part number FAR-F5KA-836M50-D4DF FAR-F5KB-836M50-B4ER FAR-F5KB-836M50-B4EG FAR-F5KA-881M50-D4DB FAR-F5KB-881M50-B4ED FAR-F5KB-881M50-B4EJ FAR-F5KA-836M50-D4CM FAR-F5KB-881M50-B4EA FAR-F5KA-897M50-D4DC FAR-F5KA-942M50-D4DD FAR-F5KB-942M50-B4EB FAR-F6KA-1G5754-L4AA FAR-F6KA-1G5754-L4AJ FAR-F6KA-1G5754-L4AB FAR-F6KB-1G5754-B4GE FAR-F6KB-1G5754-B4GU FAR-F6KA-1G7675-D4CT FAR-F6KB-1G7675-B4GF FAR-F6KA-1G8625-D4DH FAR-F6KB-1G8625-B4GT FAR-F6KB-1G8625-B4GG FAR-F6KA-1G7475-D4CY FAR-F6KA-1G8425-D4CK FAR-F6KB-1G8425-B4GA FAR-F6KA-1G8800-L4AF FAR-F6KA-1G9600-D4DQ FAR-F6KB-1G9600-B4GP FAR-F6KA-1G9600-D4CR FAR-F6KB-1G9600-B4GB FAR-F6KA-1G9500-D4DG FAR-F6KB-1G9500-B4GJ FAR-F6KA-2G1400-D4CG FAR-F6KB-2G1400-B4GC FAR-F6KA-2G0175-D4DR FAR-F6KA-2G4418-D4CU FAR-F6KA-2G4418-A4VA FAR-F6KB-2G4418-B4GL Remarks Unbalanced Balanced 100 ohm output Balanced 200 ohm output Unbalanced Balanced 100 ohm output Balanced 200 ohm output Unbalanced Balanced 150 ohm output Unbalanced Unbalanced Balanced 150 ohm output Unbalanced Unbalanced Ultra low insertion loss, Unbalanced Balanced 100 ohm output, Low loss Balanced 100 ohm output, High Attenuation Unbalanced Balanced 200 ohm input Unbalanced Balanced 100 ohm input Balanced 200 ohm input Unbalanced Unbalanced Balanced 150 ohm output Unbalanced Unbalanced, high attenuation Balanced 100 ohm output Unbalanced Balanced 150 ohm output Unbalanced Balanced 100 ohm input Unbalanced Balanced 100 ohm output Unbalanced Unbalanced Unbalanced, high power handling Balanced 100 ohm output (Product of FUJITSU MEDIA DEVICES LIMITED) SAW Dual Filter for Mobile Communication System Correspondence system Transmission Size (mm) /Reception Part number EGSM + DCS Reception 1.8 x 1.4 FAR-G6KG-1G8425-Y4SA EGSM + GSM850 Reception 1.8 x 1.4 FAR-G5KG-942M50-Y4SD GSM850 + GSM1900 Reception 1.8 x 1.4 FAR-G6KG-1G9600-Y4PB GSM1900 + DCS Reception 1.8 x 1.4 FAR-G6KG-1G9600-Y4SC CDMA + US-PCS Reception 2.0 x 1.6 FAR-G6KE-1G9600-Y4LY Transmission Transmission Transmission Reception 1.8 x 1.4 1.8 x 1.4 1.8 x 1.4 1.8 x 1.4 FAR-G5KL-911M50-D4XC FAR-G5KK-911M50-D4KE FAR-G6KG-1G9500-Y4PG FAR-G6KG-2G1400-Y4SH J-CDMA W-CDMA I + V Remarks Balanced 150 ohm output, Opposite type of Filter position is available. Balanced 150 ohm output, Opposite type of Filter position is available. Balanced 150 ohm output, Opposite type of Filter position is available. Balanced 150 ohm output, Opposite type of Filter position is available. Balanced 100 ohm output, Opposite type of Filter position is available. Unbalanced, 1 input/2 output Unbalanced, 2 input/2 output Balanced 200 ohm input Balanced 200 ohm output (Product of FUJITSU MEDIA DEVICES LIMITED) 13 ASSP Correspondence system Communication Control/Communication Network Communication standard Communication Control Part number ISDN MB86434 Serial interface to microcontroller, AIU LSI for ISDN digital telephones IP Packet Processing Engine MB86977 High-speed packet processing engine for access router or home gateway MB86978 Inline Ipsec processing, DES/3DES, AES, HMAC-SHA-1, HMAC-MD5, SA:64, IPv6, 10/100M MAC High-speed IP sec Processing Engine Part number Communication Network 14 Features LAN LAN controller MB86967 Features 10BASE-T LAN controller with PC card interface and ISA interface, General purpose bus interface Communication Control/Communication Network Communication Control Part number Functions Communication standard Power supply voltage (V) Package QFP MB86434 AIU LSI for ISDN digital telephones, Internal CODEC, DTMF tones, service tone, and ringer tone - +5 5% 64P Package: P - Plastic IP Packet Processing Engine Part number MB86977 Functions Enable to process following functions with hardware. IP Packet Forwarding Packet Filtering NAT PPPoE and more. Supports QoS, DMZ, IPv6 and more. 10/100M MAC (Conforms to IEEE802.3) Power supply voltage (V) Package LQFP 3.3 0.3 1.8 0.15 208P Package: P - Plastic High Speed IP sec Processing Engine Part number MB86978 Functions Inline Ipsec processing, DES / 3DES,AES,HMAC - SHA-1,HMACMD5,SA:64,IPv6,10 / 100M MAC Package FBGA 337P 288P Power supply voltage (V) 3.3 0.3 1.8 0.15 Package: P - Plastic Communication Network LAN Part number MB86967 Communication standard Power supply voltage (V) Package Conforms to IEEE 802.3 +5 5% 100P Functions 10BASE-T Ethernet controller with PC card interface, ISA bus interface and General purpose bus interface Note: Ethernet is a registered trademark of XEROX Corporation of the USA. LQFP Package: P - Plastic 15 ASSP ISDN Display Control Products Application Display Control Products Screen display control OSDC Part number TV MB90050 MB90096 512 character sets, 24 x 32 dot matrix, 32 characters x 16 lines (512 characters) display, 16 colors, Independently specifiable for each character, Shaded background, Sprite display, Command table ROM 16KB, 5 V power supply voltage MB90098A 512 character sets, 24 x 32 dot matrix, 32 characters x 16 lines (512 characters) display, 16 colors, Independently specifiable for each character, Shaded background, Sprite display, Command table ROM 16KB, 2 pixel parallel output, 3.3 V power supply voltage MB90097 512 character sets, 12 x 18 dot matrix, 28 characters x 12 lines (336 characters) display, 16 colors, Independently specifiable for each character, Shaded background, Sprite display, Three output control, 3.3 V power supply voltage MB90099 1024 character sets, 12 x 18 dot matrix, 28 characters x 12 lines (336 characters) display, 16 colors, Independently specifiable for each character, Shaded background, Sprite display, Three output control, 3.3 V power supply voltage MB90092 16384 character sets (external ROM), 24 x 32 dot matrix, 24 characters x 12 lines (288 characters) display, 8 colors, Independently specifiable for each character, Shaded background, Sub screen display, Video signal generator for the NTSC and PAL system, Composite video and Y/C video, 5 V power supply voltage LCD display Camcoder / Digital Still Camera General purpose 16 Features 512 character sets, 24 x 32 dot matrix, 35 characters x 16 lines (560 characters) display, 16 colors, Independently specifiable for each character, Shaded background, Sprite display, Video signal generator for the NTSC and PAL system, Composite video and Y/C video, 5 V power supply voltage Display Control Products Display Control Products Screen Display Control OSDC (On-Screen Display Controller) MB90050 Number Character of dot character structure set Screen size Analog (video) output 6bit 35 Composite (16 color Video and characters selection in x 16 lines 64 colors) Y/C video Internal ROM Power 512 MB90098A NTSC PAL MB90099 1024 External ROM 16384 (Max.) +5 10% +5 10% 32 characters x 16 lines Internal ROM 28 12 x 18 characters x 12 lines Composite 24 3bit Video and 24 x 32 characters (8 colors) Y/C video x 12 lines SOP QFP SSOP FLGA (V) 4bit Unavailable Unavailable (16 colors) MB90097 Package Sync supply signal SHvoltage generation DIP 24 x 32 MB90096 MB90092 RGB digital output NTSC PAL -- -- 28P 28P 48P -- -- -- -- -- -- 28P -- -- -- -- -- -- 20P -- +2.4 to +3.6 -- -- -- 20P 20P +5 10% -- -- 80P +3.3 0.3 -- -- Package: P - Plastic 17 ASSP Part number Character generator Video/Audio Products Video/Audio Products IF SAW Filter * Application Frequency Part number Digital 40 to 60 MHz SBF series SBSF series F4SE series K4SH series Features Plastic package 13.7 x 5.2 x 2.1 mm For terrestrial, CATV, Cable modem Single, Dual, Switchable filter Small ripple in passband, high attenuation *: Product of FUJITSU MEDIA DEVICES LIMITED 18 Video/Audio Products Video/Audio Products IF SAW Filter for Digital (Product of FUJITSU MEDIA DEVICES LIMITED) Center frequency (MHz) 3 dB Bandwidth (MHz) DAB 38.912 1.50 SBF0402GPL 44.000 1.70 SBF0402JPL 44.000 1.70 FAR-F4SE-44M000-A011 44.000 2.60 FAR-F4SE-44M000-H0A6 44.000 4.00 FAR-F4SE-44M000-H0A3 36.000 8.10 FAR-F4SE-36M000-A005 36.125 6.10 FAR-F4SE-36M125-A001 36.125 7.00 SBF0407BPL 36.125 8.10 SBF0408KPL 43.750 6.00 FAR-F4SE-43M750-A006 43.750 6.00 FAR-F4SE-43M750-H0AB 44.000 5.35 FAR-F4SE-44M000-H0AG 44.000 5.37 FAR-F4SE-44M000-H0A4 44.000 5.42 FAR-F4SE-44M000-H0A8 44.000 5.49 FAR-F4SE-44M000-H0A1 44.000 5.50 FAR-F4SE-44M000-H0AH 44.000 6.00 FAR-F4SE-44M000-H0A9 44.000 6.12 FAR-F4SE-44M000-H0A2 44.000 6.20 FAR-F4SE-44M000-H0AA 44.000 8.00 SBF0408LPL 47.250 6.20 FAR-F4SE-47M250-H0AC 36.000 6.4/7.4 (Switchable) FAR-K4SH-36M000-L0E1 36.000 7.0/7.9 (Switchable) SBSF03ABPL 36.125 6.0/7.9 (Switchable) FAR-K4SH-36M125-F001 36.125 7.0/7.9 (Switchable) SBSF03AAPL 57.000 5.30 FAR-F4SE-57M000-H0JC 57.000 5.40 FAR-F4SE-57M000-H0J9 57.000 5.62 FAR-F4SE-57M000-H0J6 57.000 5.62 FAR-F4SE-57M000-H0J3 35.230 8.00 FAR-F4SE-35M230-A013 36.125 6.90 FAR-F4SE-36M125-H0E8 36.125 7.60 FAR-F4SE-36M125-H0E5 36.125 7.90 FAR-F4SE-36M125-H0E7 OOB CATV/TV (US/Euro) CATV/TV (Japan) TV tuner TV/STB Part number ASSP Applicable types 19 Demodulator Products/ISDB-T OFDM/Video Encoder, Decoder Application Digital Demodulator Satellite Cable ISDB-T OFDM Part number MB86667 Small 48 pin package High tolerance to spectrum distortion High and stability of reception Blind Scan support MB86668 Small 48 pin package High tolerance to spectrum distortion High and stability of reception MB86A27S Supports 1-segment part reception of Japan's terrestrial digital broadcasting (ISDB-T) 9 mW Target Power Supports WLP type (Target Size 2.994 mm x 3.336 mm x 0.49 mm) MB86A27T Supports 1-segment part reception of Japan's terrestrial digital broadcasting (ISDB-T) 9 mW Target Power Supports solder bump type (Target Size 2.9 mm x 2.9 mm x 0.30 mm) MB86A20S Supports Japan's terrestrial digital broadcasting (ISDB-T) 9 mW Target Power Supports QFP 64 pin type (Package Size 7 x 7 mm) and WLP type (Target Size 0.686 mm x 5.36 mm) MB86A21 Japanese Digital Terrestrial broadcasting standard(ISDB-T) compliant Demodulator LSI. Enhanced version of MB86A20S. Power consumption 80mW, I/Q input support MB86H55 Full HD H.264 Codec. Small package, Low power. MB86H56 Full HD/60p H.264 Codec. Small package, Low power. MB86H52 Full HD MPEG-2 to H.264 Transcoder. H.264 Codec is embedded. MB86H57 MB86H58 Full HD MPEG-2/H.264 bi-directional Transcoder for digital broadcast application such as PVR, PC. Low power. Supports small package (MB86H57). MB86H60 MPEG-2/H.264 HD multi Decoder for STB of European HD broadcast. MB86H01 MPEG-2/H.264 SD multi Decoder for STB of Russian, Eastern European, Chinese SD broadcast. Low power(MB86H01BA). Small package(MB86H01BB). 1 segment 13 segment Video Encoder, Decoder Codec Transcoder Decoder 20 Features Demodulator Products/ISDB-T OFDM/Video Encoder, Decoder Demodulator Products Satellite Package Part number Function Power supply voltage (V) MB86667 QPSK demodulator DVB-S and DSS support 1.65 to 1.95 3.0 to 3.6 ASSP QFP 48P Cable Package Part number Function Power supply voltage (V) QFP MB86668 QAM demodulator DVB-C support 1.65 to 1.95 3.0 to 3.6 48P Package: P - Plastic ISDB-T OFDM 1 Segment Package Part number MB86A27S MB86A27T Function Power supply voltage (V) 1 segment OFDM demodulator ISDB-T supports 1 segment OFDM demodulator ISDB-T supports Solder Bump WLP - 42pin 48pin - 1.2 (internal, analog) 1.8 to 2.8 (I/O), 2.8 (analog) 1.2 (internal, analog) 1.8 to 2.8 (I/O), 2.8 (analog) 13 Segment Package Part number MB86A20S MB86A21 Function Power supply voltage (V) 13 segment OFDM demodulator ISDB-T supports 13 segment OFDM demodulator ISDB-T supports 1.2 (internal, analog) 3.3 (I/O), 3.3 (analog) 1.2 (internal, analog) 3.3 (I/O), 3.3 (analog) LQFP WLP 64P 58P 64P - Package: P - Plastic Video Encoder, Decoder Codec Part number MB86H55 MB86H56 Function H.264 HP Level4.0 Codec Various Audio Codec H.264 HP Level4.2 Codec 1920x1080/60 supports Various Audio Codec Power consumption (mW) Package Memory FBGA 500 700 1piece 512Mbit FCRAM is embedded 650pin 15mm x 15mm Transcoder Part number MB86H52 MB86H57 MB86H58 Function MPEG-2 to H.264 HD Transcode H.264 HP Level4.0 Codec Various Audio Codec MPEG-2/H.264 bi-directional Transcode Audio Transcode H.264 HP Level4.0 Encode MPEG-2 MP@ML Encode Various Audio Codec MULTI2 decryption is embedded Power consumption (W) 1.7 1.0 Package Memory 2 pieces 512Mbit DDR2-667 1 piece 512Mbit FCRAM is embbeded FBGA PBGA - 496pin 27mm x 27mm 650pin 15mm x 15mm - - 496pin 27mm x 27mm Decoder Part number MB86H60 MB86H01BA MB86H01BB Function ARM1176JZF-S(324MHz) MPEG-2 MP@HL Decode H.264 HP Level 4 Decode Various Audio Decode DVB descrambler is embedded ARC Tangent-A4(202.5MHz) MPEG-2 MP@ML Decode H.264 MP Level 3 Decode MPEG-1/2 Layer I/II Audio Decode DVB descrambler is embbeded Power consumption (W) 1.2 Package Memory 2 pieces 16bit DDR2-SDRAM 667MHz (256Mbit to 1Gbit) 1 piece 16bit 0.53 (with DAC) DDR-SDRAM 0.31 (W/O DAC) 135MHz (128Mbit to 512Mbit) FBGA PBGA - 484pin 27mm x 27mm - 256pin 27mm x 27mm 240pin 10mm x 10mm - 21 Power Management Applications Power Management Applications AC/DC converters Number of Channels General purpose DC/DC converters 1 Oscillator frequencies (kHz) Error amplifiers 300 Operational amplifier type MB3759 Push-pull, Single-end function switchable, TL494-equivalent 700 Operational amplifier type MB3769A MOS FET compatible, Dynamic over-current detection 200 Operational amplifier type MB3789A Adaptable for external CLK synchronization, Two internal error amplifiers, Soft-start, Timer-latch type short circuit protection 500 Operational amplifier type MB3817 Soft-start, Timer-latch type short circuit protection MB3885 N/N synchronous rectification, Over voltage protection, Soft-start, Timer-latch type short circuit protection 780 Comparator 1000 Fixed gain type 500 Features Fast response, Bottom detection comparator, N/N synchronous rectification, Soft-start, MB39A130A Discharge circuit, Overvoltage protection, Under voltage protection, Overcurrent protection, Over temperature protection, POWERGOOD circuit MB3800 Low voltage operation,, Soft-start, Timer-latch type short circuit protection MB39A135 Selectable fixed PWM mode or automatic PFM/PWM mode, N/N synchronous rectification, Current mode type, Over voltage protection, Over current detection, Over temperature protection, Soft-start/stop Fixed gain type MB3775 Open collector, Timer-latch type short circuit protection Operational amplifier type MB3778 Open collector, Timer-latch type short circuit protection MB3882 N/N synchronous rectification, Over voltage protection, Soft-start, Timer-latch type short circuit protection MB3889 N/N synchronous rectification, Timer-latch type over voltage protection , Timer-latch type over current protection, POWERGOOD circuit, Symmetrical-Phase method, Soft-start/stop MB39A106 N/N synchronous rectification, Boot strap diode, Timer-latch type over voltage protection , Timer-latch type over current protection, POWERGOOD circuit, Soft-start/stop, Symmetrical-Phase method Operational amplifier type 2 Part number N/N synchronous rectification, Boot strap diode, Over voltage protection, MB39A116A Timer-latch type over current protection , POWERGOOD circuit, Soft-start/stop, Symmetrical-Phase method (Continued) 22 (Continued) 310/ 465 Operational amplifier type 1000 Operational amplifier type 1500 Operational amplifier type 2000 Operational amplifier type MB39A138 Fast response, Bottom detection comparator, N/N synchronous rectification, Soft-start, Discharge circuit, Overvoltage protection, Under voltage protection, Overcurrent protection, Over temperature protection, Boot strap diode MB39A136 Selectable fixed PWM mode or automatic PFM/PWM mode, N/N synchronous rectification, Current mode type, Over voltage protection , Over current detection, Over temperature protection, Soft-start/stop, Symmetrical-Phase method MB39A104 Soft-start, Timer-latch type short circuit protection , Timer-latch type over current protection P/N synchronous rectification (P-ch. asynchronous rectificaMB39C011A tion) , Soft-start, Timer-latch type short circuit protection, Symmetrical-Phase method Power Management Applications Power Management Applications AC/DC Converters Part number Bipolar FET Power supply voltage (V) Yes No +7 to +32 Function MB3759 1 Yes Yes Reference voltage Package (V) (Typ.) Precision (%) SOP 5.0 16P 2.0 16P 300 PWM-type controllers for AC/DC converters MB3769A Operating oscillator frequency (kHz) (Max.) No. of channels 5 +12 to +18 700 Packages: P - Plastic General Purpose DC/DC Converters Part number Function Operating Reference Power oscillator voltage Switching supply No. of frequency method voltage channels (kHz) (V) Precision (V) (Max.) (Typ.) (%) MB3789A +3.0 to +18 MB3817 +2.5 to +18 MB3885 PWM-type controllers for DC/ DC converters Voltage mode MB3800 MB39A130A MB39A135 PWM-type controllers for DC/ DC converters Voltage mode MB39A116A MB39A138 MB39A104 PWM-type controllers for DC/ DC converters MB39C011A : New product Up conversion - 16P - 1.5 2.0 Up conversion Down conversion Invert - 16P - 1.25 1.0 Down conversion - 20P - 0.5 4.0 Up conversion 8P 8P - - - 24P - - 16P 780 0.7 * 1.0 Down conversion 1000 1.28 1.5 2.46 2.0 Up conversion 16P 16P Down conversion 16P 16P Invert 1.25 +5.5 to +18 500 +6.5 to +18 PFM/PWM-type controllers for DC/ DC converters 4.0 1.23 MB39A106 MB39A136 1000 +3.6 to +18 MB3778 MB3889 1 SOP SSOP TSSOP 2.5 500 Bottom detection comparator +4.5 to +25 PFM/PWM-type Current controllers for DC/ mode DC converters MB3775 MB3882 +5.5 to +18 +1.8 to +15 200 Package Solutions 1.00 - - - 24P - - - 30P - - 30P - - 30P - - 24P 2 Current mode +4.5 to +25 1000 0.7 * Bottom detection comparator +6 to +24 310/465 0.7/2.0 - - 24P Voltage mode +7 to +19 +4.5 to +17 1500 1.24 - 24P - 2000 1.0 - - 16P 1.0 Down conversion Packages: P - Plastic *: Feadback Voltage 23 ASSP Switching circuit Power Management Applications (Continued) DC/DC converters with switching FET (Continued) Number of Channels Oscillator frequencies (kHz) Error amplifiers 3 2600 Operational amplifier type 1 2000/ 3000 Fixed gain type 2 LDO + DC/DC converters with switching FET 2* 2000 2000 Fixed gain type Operational amplifier type Part number MB39A112 P-ch. asynchronous rectification , Each channel control, Soft-start MB39C014 PWM type, Current mode, Synchronous rectification, short circuit protection, Over current detection, Over temperature protection, POWERGOOD circuit MB39C006A PFM and PWM mode, Current mode, Synchronous rectification, short circuit protection, Over current detection, Over temperature protection, POWERGOOD circuit MB39C015 PWM type, Current mode, Synchronous rectification, short circuit protection, Over current detection, Over temperature protection, Voltage detection circuit MB39C007 PFM and PWM mode, Current mode, Synchronous rectification, short circuit protection, Over current detection, Over temperature protection, Voltage detection circuit MB39C022G MB39C022J MB39C022L MB39C022N 7* (Continued) 24 1700 Fixed gain type Features MB39C316 Current mode, Synchronous rectification , short circuit protection, Over current protection, Over temperature protection, POWERGOOD circuit, Monitoring of output voltage *: 1 ch. is LDO, 1 ch. is DC/DC converter. Current mode, Synchronous rectification , short circuit protection, Over current protection, Over temperature protection, POWERGOOD circuit, Monitoring of output voltage *: 1 ch. is LDO, 1 ch. is DC/DC converter. Current mode, Synchronous rectification , short circuit protection, Over current protection, Over temperature protection, POWERGOOD circuit, Monitoring of output voltage *: 1 ch. is LDO, 1 ch. is DC/DC converter. Current mode, Synchronous rectification , short circuit protection, Over current protection, Over temperature protection, POWERGOOD circuit, Monitoring of output voltage *: 1 ch. is LDO, 1 ch. is DC/DC converter. Supports for 1 cell of Lithium Ion Battery, Current mode, Synchronous rectification , Short circuit protection, Over current protection, Over temperature protection, Under Voltage LockOut *: 4 ch. is LDO, 3 ch. is DC/DC converter. Power Management Applications General Purpose DC/DC Converters Function PWM-type controllers for DC/DC converters MB39A112 Voltage mode +7 to +25 3 2600 1.0/1.23 1.0 Package Solutions TSSOP Down conversion 20P Packages: P - Plastic DC/DC converters with switching FET Part number MB39C014 MB39C015 Power supply voltage (V) Function No. of channels PWM type DC/DC converters 1 2 +2.5 to +5.5 MB39C006A PFM/PWM type DC/DC MB39C007 converters 1 2 Operating Output Switching FET Reference voltage oscillator current ON resistance frequency Solutions (V) Precision DC/DC Pch MOS Nch MOS (kHz) (Typ.) (%) (mA) (Max) () (Typ) () (Typ) (Max.) 2000/3200 (Fix) 2000 (Fix) 2000/3200 (Fix) 1.30 2000 (Fix) 1.30 1.20 2.0 800 0.3 0.2 1.20 Down conversion Package QFN SON - 10P 24P - - 10P 24P - Packages: P - Plastic DC/DC converters with switching FET + LDO Part number Common condition Power supply voltage (V) Function No. of channels DC/DC converter Operating oscillator frequency (kHz) 2000 MB39C022G MB39C022J MB39C022L +2.5 to 1ch DC/DC +5.5 + 1ch LDO Low noise LDO - MB39C022N Output voltage (V) 0.8 to 4.5 (variable) 3.3 (Typ) 2.85 (Typ) 1.8 (Typ) 1.2 (Typ) Output Switching FET Package current ON resistance PSSR Output precision DC/DC Pch MOS (dB) Solutions Nch MOS (%) (Typ) () (mA) SON () (Typ) (Typ) (Max.) 2.5 600 0.35 0.25 - -70 Down conversion -65 2.5 300 - - 10P -60 -55 : New product Packages: P - Plastic Power Management IC for Portable Products Power Switching supply frequency voltage (kHz) Pin name DCDC LDO (V) No. of channels Part number MB39C316 3 4 +2.7 to +5.5 1700 DCDC1 DCDC2 DCDC3 LDO1 LDO2 LDO3 LDO4 Output features Output voltage (V) 1.2 1.825 3.3 2.875 1.225 1.20/1.30 2.925 FET Integrated - Output current (mA) (Max.) 800 600 650 200 260 6.5 84 Package Solutions WL-CSP Down conversion Down conversion Up/Down conversion 49 - 25 ASSP Part number Operating Reference voltage oscillator Power Switching No. of frequency supply method channels (V) Precision (kHz) voltage (V) (Typ.) (%) (Max.) Power Management Applications (Continued) DSC/ camcorder DC/DC converters Number of Channels Oscillator frequencies (kHz) Error amplifiers 4 1500 Operational amplifier type 2000 5 6 8 (Continued) 26 2000 Operational amplifier type Part number Features MB39A102 Support for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection MB39A103 Low voltage operation, Support for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection. MB39A110 Synchronous rectification Support for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection MB39A108 Low voltage operation, Synchronous rectification, Supports for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection MB39A115 Synchronous rectification, Supports for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection Operational amplifier type 800 Operational amplifier type MB3825A High-precision reference voltage, Synchronous rectification 1000 Operational amplifier type MB3883 Low voltage operation,High-precision reference voltage, Synchronous rectification 2000 Operational amplifier type MB39A123 Low voltage operation,Synchronous rectification, Supports for control and soft-start of each channel, High-precision reference voltage, Support for external input short detection MB3881 Low voltage operation, High-precision reference voltage, Synchronous rectification, 800 Operational amplifier type Power Management Applications DSC/Camcorder DC/DC Converters Function Solutions +2.5 to +11 MB39A102 1500 MB39A103 +1.7 to +11 MB39A110 4 Up conversion Down conversion Up/Down conversion 2.0 +2.5 to +11 2000 MB39A108 +1.7 to +11 5 MB39A115 MB3825A MB3883 PWM-type controllers for DC/DC converters 1.0 +2.5 to +12 800 1.5 +1.7 to +9 1000 2.5 2000 2.0 800 2.5 Down conversion Up conversion Down conversion Up/Down conversion Up conversion Down conversion Up/Down conversion Invert 6 MB39A123 +1.7 to +11 MB3881 +1.8 to +13 *: 0.4 mm pitch **: 0.4 mm pitch, 0.5 mm pitch 8 1.0 Down conversion Up/Down conversion Drive circuit Package LQFP BCC TSSOP Pch : 3, Nch : 1 -- 32P 30P Pch : 1, Nch : 3 -- 32P 30P Pch : 3, Nch : 1 -- -- 38P Pch : 3, Nch : 2 -- 40P 38P Pch : 4, Nch : 1 -- 40P 38P -- -- PNP : 6 64P ** Pch : 2, Nch : 4 48P 48P -- Pch : 4, Nch : 2 48P 48P -- Pch : 7, Nch : 1 64P * -- -- Packages: P - Plastic 27 ASSP Part number Operating Reference voltage Power No. of oscillator supply channels frequency (V) Precision voltage (V) (kHz) (Max.) (%) (Typ.) Power Management Applications (Continued) (Continued) Number of cells Charge control 4 cells 3/4 cells 3 cells 1 to 3 cells (Continued) 28 (Continued) Part number Features MB3876 Applicable to lithium ion battery (4-cell) charging. Parallel charging , Dynamically-controlled charging. MB3877 Applicable to lithium ion battery (4-cell) charging. Dynamically-controlled charging. MB3879 Applicable to lithium ion battery (3/4-cell) . 2 mode charging (Dynamically-controlled charging, differential charging) MB39A114 Built-in constant current control cicuit in two systems. Built-in low voltage protection function. Posssible to prevent mis-detection of the full charge by the constant voltage control state detection function. Built-in overvoltage detection function of charge voltage. Built-in output voltage setting resistor. Built-in output setting voltage switch function. Built-in circuit for load-independent soft-start. MB39A126 Built-in two constant current control circuits Analog control of the charging current value Built-in AC adapter detection function Built-in output voltage setting resistor Built-in charge stop function at low VCC Built-in high accuracy current detection amplifier In standby mode, make output voltage setting resistor open to prevent inefficient current loss Totem-pole type output for Pch MOS FET MB3875 Applicable to lithium ion battery (3-cell) charging. Dynamically-controlled charging. MB3874 Applicable to lithium ion battery (3-cell) charging. Parallel charging , Dynamically-controlled charging. MB3832A Output voltage and current independently controllable. Applicable to 1 to 3-cell charging. Internal high-precision reference supply voltage. Power Management Applications Charge control Output voltage (V) Operating oscillator Number frequency Solutions of cells (kHz) Ta = (Max.) -30 to +85 C Ta = +25 C MB3876 +7 to +25 16.8 0.8 1.0 12.6/16.8 0.8 1.0 12.3/16.4 0.9 1.1 MB39A114 Charge +8 to +25 control 12.6/16.8 DC/DC MB39A126 converters 0.5 0.74 * 3/4 500 0.6 0.80 * MB3875 +7 to +25 12.6 0.8 1.0 * : Ta = -10 to +85 C +3.6 to +18 Any voltage level 0.5 1.0* LQFP QFN 24P - - 24P - - -- 48P -- 24P - - 24P - 28P 24P - - 24P - - 20P - - Down conversion 3 MB3874 MB3832A SSOP 4 MB3877 MB3879 Package Precision (%) 1 to 3 Package: P-plastic 29 ASSP Part number Function Power supply voltage (V) Power Management Applications (Continued) (Continued) Number of cells 1 to 4 cells Part number MB3878 Output voltage and current are independently controllable. Applicable to 1 to 4-cell charging. Internal high-precision reference supply voltage, Dynamically-controlled charging. MB3887 Output voltage and current are independently controllable. Applicable to 1 to 4-cell charging. Internal high-precision reference supply voltage. High charging current accuracy. Dynamically-controlled charging. MB3888 Output voltage and current are independently controllable. Applicable to 1 to 4-cell charging. Internal high-precision reference supply voltage. High charging current accuracy. MB39A113 Built-in constant current control cicuit in two systems. Built-in low voltgae protection function. Posssible to prevent mis-detection of the full charge by the constant voltage control state detection function. Built-in overvoltage detection function of charge voltage. Built-in circuit for load-independent soft-start. MB39A119 Built-in off time control function, Built-in voltage detection function of AC adapter, Possible to prevent mis-detection of the full charge by the constant voltage control state detection function, Built-in constant current control circuit in two systems, Possible to control of the constant current by analog value, Built-in for Nch MOS FET synchronous rectification type output stage, Built-in charge stop function at low VCC, Possible to set any output voltage by external resistor, In IC standby mode, leave output voltage setting resistor open to prevent inefficient current loss MB39A125 Built-in two constant current control circuits, Analog control of the charging current value, Built-in AC adapter detection function, External output voltage setting resistor, Built-in charge stop function at low VCC, Built-in high accuracy current detection amplifier, In standby mode, make output voltage setting resistor open to prevent inefficient current loss, Totem-pole type output for Pch MOS FET MB39A132 Built-in two constant current control loops, Built-in AC adapter detection function (ACOK terminal), Built-in output voltage control setting without external resistor, Adjustable output voltage with external resistor, Built-in two high accuracy current detection amplifiers, Built-in Charging Current Control setting without resistor, Adjustable charging current with external resistor, Support for frequency setting using an external resistor, (Frequency setting capacitor integrated), Built-in under voltage lockout protection, In standby mode, only AC adapter detection function is operated, Built-in output stage for N-ch MOS FET synchronous rectification, Soft start function MB39A134 Built-in two constant current control loops, Built-in AC adapter detection function (ACOK terminal), Built-in output voltage control setting without external resistor, Adjustable output voltage with external resistor, Built-in two high accuracy current detection amplifiers, Built-in Charging Current Control setting without resistor, Adjustable charging current with external resistor, Support for frequency setting using an external resistor, (Frequency setting capacitor integrated), Built-in under voltage lockout protection, In standby mode, only AC adapter detection function is operated, Built-in synchronous rectification type output for N-ch MOS FET, Soft start function MB39C308 For LPIA Platform VR, N/N Synchronous rectification, Integrated FET Driver for external MOSFETs(2ch), Integrated Switching MOSFETs(4ch), Preset Output Voltage, Soft start function/Soft stop function, Power good function, Various protection circuitry(SCP/OTP/OVP/OCP/UVLO/IVP) 2 to 4 cells DC/DC converters for UMPC (Continued) 30 Number of channels Topology 6 Current Mode Features Power Management Applications Part number Function Power supply voltage (V) Output voltage (V) +7 to +25 MB3878 Precision (%) Ta = +25 C Ta = -30 to +85 C 0.8 1.0 Operating Package oscillator Number frequency Solutions of cells SSOP TSSOP QFN (kHz) (Max.) 24P - - 24P - - 20P - - 24P - - 4.2 V/cell +0.6 -0.4 MB3887 500 Any voltage level MB3888 1 to 4 0.74 *1 MB39A113 Charge control MB39A119 DC/DC MB39A125 converters 4.2 V/cell 1000 +8 to +25 Down conversion 500 MB39A132 4.0V/Cell, 4.2V/Cell, 4.35V/Cell, Any voltage level MB39A134 4.2V/Cell, 4.1V/Cell, Any voltage level - - 28P 24P - 28P - - 32P - 24P - 0.5 0.5 *2 2 to 4 2000 0.7 *1 *1 : Ta = -10 C to +85 C *2 : Ta = +25 C to +85 C Package: P-plastic DC/DC converters for Ultra Mobile PC Output features Part number Input Number Oscillator of frequencies Function voltage (V) channels (kHz) Pin name Preset output voltage (V) FET Package Drive or Solutions Output current (A) (Max) CH1 5 CH2 3.3 4.5 CH3 1.8/1.5 2.7 CH4 0.9/0.75 1.5 CH5 1.5 2.5 CH6 1.1/1.05 3.5 PFBGA 2 External MB39C308 DC/DC converters +5.5 to for LPIA +12.6 Platform VR LPIA=Low Power Intel Architecture(R) 6 700 (Fix) Down conversion 208P Integrated Package: P-plastic 31 ASSP Charge control Power Management Applications (Continued) Part number Voltage detectors MB3761 Features Wide operating voltage range, Easy addition of hysteresis characteristics Watchdog timer Supply voltage monitoring applications Single system Double systems Power management switches LCD Panel 32 2-ch. DC/DC + 2-ch. charge pump MB3771 Accurate supply voltage drop detection, External add-on allows detection of any desired voltage drop MB3773 Watchdog timer Accurate supply voltage drop detection MB3793-27A MB3793-28A MB3793-30A MB3793-34A MB3793-37A MB3793-42 MB3793-45 Watchdog timer Accurate supply voltage drop detection MB3841 Low on-resistance switch MB3842 MB3845 Low on-resistance switch MB39C313 DC/DC converters with P-ch. FET Soft-start, Sequence control, Short circuit protection, Over voltage protection, Over current protection, Over temperature protection MB39C313A DC/DC converters with P-ch. FET Soft-start, Sequence control, Short circuit protection, Over voltage protection, Over current protection, Over temperature protection Power Management Applications Voltage Detectors MB3761 Function Power supply voltage (V) Reference voltage (V) (Typ.) +2.5 to +40 1.2 Voltage detector Package SOP 8P Package: P - Plastic Supply Voltage Monitoring Applications Part number Reset certified voltage (V) (Typ.) Power supply Detection voltage (V) voltage (V) Function Package SOP SSOP 8P - 8P - 2.70.07 8P 8P 2.80.07 8P - 8P 8P 3.40.08 8P - 3.70.1 8P - MB3793-42 4.20.1 8P - MB3793-45 4.50.1 8P - MB3771 Supply voltage monitoring applications +3.5 to +18 MB3773 Supply voltage monitoring applications with watchdog timer +3.5 to +16 MB3793-27A Any voltage level in addition to 4.2 V +4 (Max.) MB3793-28A MB3793-30A MB3793-34A 0.8 3.00.07 Supply voltage monitoring applications with dual watchdog timer systems +6 (Max.) MB3793-37A Package: P - Plastic Switching Applications Part number Power supply Number of voltage (V) (Max.) channels Function MB3841 MB3842 Power management switch 5.5 MB3845 Package On-resistance () Drive current (A) (Max.) SOP SSOP 1 0.045 2.0 8P - 2 0.1 0.6 - 20P Package: P - Plastic LCD Panel Output features Part number Vlogic MB39C313 Package Power Number Switching supply Error Output frequency of Function voltage Pin Circuit type amplifier Precision channels kHz(Fix) voltage (V) (%) threshold name or solution (V) voltage (V) 2ch. DC/ DC + +8 to 2ch. +14 charge pump 2ch. DC/ DC + +8 to 2ch. MB39C313A +14 charge pump 4 4 1.213 1.5 VS Step up DC/ DC VGL Invert charge 0 36mV pump VGH Step up charge pump 1.213 2.1 Vlogic Step down DC/DC 1.213 1.5 500/750 1.146 VS Step up DC/ DC VGL Invert charge 0 36mV pump 500/750 VGH : New product *1: 12V input/15V output *2: With exposed pad Step down DC/DC Step up charge pump 1.146 1.213 0.9 1.8 to 3.3 18.1 (Max) Output current TSSOP (A) FET 1.5 Integrated 1.5 *1 - 0.9 50mA 1.8 to 3.3 18.1 (Max) 1.5 Integrated 1.5 *1 - 28P *2 100mA - 2.1 28P *2 50mA 100mA Package: P - Plastic 33 ASSP Part number Motor Drivers/RFID (FerVID familyTM) Number of Channels Motor Drivers 1 2 Part number Features MB3763 Motor drive current (300 mA), Wide operating voltage range, TTL drive available MB3863 Motor drive current (500 mA), Wide operating voltage range, TTL drive available MB89R118B ISO15693 Type FRAM 2 Kbyte MB89R119 ISO15693 Type FRAM 256 byte Frequency band RFID (FerVID family) 34 13.56MHz Motor Drivers/RFID (FerVID familyTM) Motor Drivers Function MB3763 Output current (mA) Power supply voltage (V) Package Number of Channels 1 300 +4 to +18 8P 2 500 +4 to +36 20P SOP Reversible motor drivers MB3863 Package: P - Plastic RFID (FerVID familyTM) Part number Frequency band MB89R118B Interface Transmission speed (Reader/Writer -> LSI) Transmission speed (LSI -> Reader/Writer) FRAM (byte) Shipment form ISO15693 26.48kbps (52.97kbps) 26.48kbps (52.97kbps) 2K Wafer (With a golden Bump) ISO15693 26.48kbps (52.97kbps) 26.48kbps (52.97kbps) 256 Wafer (With a golden Bump) 13.56MHz MB89R119 35 ASSP Part number General-Purpose Converter General-Purpose Converter Resolution (bits) A/D converter D/A converter for digital tuning applications 36 Conversion time Linear accuracy (%) Number of Channels Part number Features 10 50s 1LSB 24 MB88111 8-channel port input capability, Internal serial expansion interface 12 16s/ch - 4.0 to +2.0LSB 4 MB88101A Ultra-miniature, Ultra-low current consumption, Serial data output Bits Settling time Non-linearity (LSB) Number of Channels 8 20s 1.5 12 MB88346B Serial data input, R-2R type, Cascade connection capability Internal operational amplifier 300s 1.5 12 MB88346L Functionally compatible with MB88346B, Low-voltage operating capability 100s 1.5 8 MB88347 Serial data input, R-2R type, Cascade connection capability, Internal operational amplifier 200s 1.5 8 MB88347L Functionally compatible with MB88347, Low-voltage operating capability 100s 1.5 24 MB88345 Serial data input, R-2R type, Cascade connection capability, Internal operational amplifier 100s 1.5 12 MB88141 MB88141A Compatible with I2C bus, R-2R type, Internal operational amplifier MB88146A R-2R type, I/O expander, internal operational amplifier General-Purpose Converter General-Purpose Converter A/D Converter MB88111 MB88101A Conversion method Function 24-ch 10-bit A/D converter 4-ch 12-bit A/D converter Successive approximation Conversion time (s/ch) (Max.) Linearity error (%) (Max.) Power supply voltage (V) DIP SOP SSOP QFP SH-DIP 50 1 LSB +3.5 to +5.5 - - - 44P 48P 16P 16P 16P - - 16 -4.0 to +2.0 +3.3 to +5.5 (at 5 V10%) LSB Package Packages: P - Plastic D/A Converter for Digital Tuning Applications Part number Function Settling NonPower time linearity consumption (s) error (mW) (Typ.) (Max.) (LSB) Package Power supply voltage (V) DIP SOP SSOP QFP MB88346B 12-ch 8-bit D/A converter (internal operational amplifier) 20 14 +510% 20P 20P 20P - MB88346L 12-ch 8-bit D/A converter (internal operational amplifier, low voltage operation) 300 5 +2.7 to +3.6 20P 20P 20P - MB88347 8-ch 8-bit D/A converter (internal operational amplifier) 100 9 +510% 16P 16P 16P - MB88347L 8-ch 8-bit D/A converter (internal operational amplifier, low voltage operation) 200 4.2 +2.7 to +3.6 16P 16P 16P - 24-ch 8-bit D/A converter (internal operational amplifier) 100 - - - 32P 24P 24P 24P - - 24P - 24P - MB88345 MB88141 MB88141A MB88146A 1.5 27 +510% 12-ch 8-bit D/A converter (compatible with I2C bus, internal operational amplifier) 15 100 12-ch 8-bit D/A converter (I/O expander, internal operational amplifier) 14.5 Digital:+2.7 to +5.5 Analog:+510% Package: P - Plastic 37 ASSP Part number SD/SDHC card SD/SDHC card 38 SD/SDHC-ATA bridge LSI MSC1007 The parallel AT -> SD/SDHC card bridge chip driver software is unnecessary. SD memory card physical specification Ver.2.0 support SD/SDHC card SD/SDHC card MSC1007 Function SDHC memory card support PIO 0-4 and ultra DMA mode 3 ATA-6 specification conforming Hardware protocol conversion of SD-IDE Boot from the SD/SDHC card Power supply voltage (V) Package +3.0 to +3.6 100P FBGA ASSP Part number Package: P - Plastic 39 Spread Spectrum Clock Generator Efficiency of multiply Spread Spectrum Clock Generator Part number x 1/2, x 1, x 2, x 4, x 8 MB88151A Features Input frequency : 16.6 to 33.4 MHz * Modulation type : center/down (selection) * Modulation sensitivity: -1.0%, -3.0%, 0.5%, 1.5% * (down/center) Power supply voltage : 3.3 V 0.3 V Power down function / modulation enable function ** With multiply circuit, SOP-8 pin *: The Input frequency wide range, the modulation type and the modulation sensitivity are different to the option. x1 MB88152A Input frequency : 16.6 to 134 MHz * Modulation type : center/down * Modulation sensitivity: -1.0%, -3.0%, 0.5%, 1.5%, no modulation * (down/center) Power supply voltage : 3.3 V 0.3 V Input frequency wide range version, SOP-8 pin *: The Input frequency wide range, the modulation type and the modulation sensitivity are different to the option. MB88153A Input frequency : 16.6 to 134 MHz Modulation type : center/down * Modulation sensitivity: -1.0%, -3.0%, 0.5%, 1.5%, no modulation * (down/center) Power supply voltage : 3.3 V 0.3 V Power down function, external clock input only, SOP-8 pin *: The modulation type and the modulation sensitivity are different to the option. MB88154A Input frequency : 16.6 to 80 MHz * Modulation type : center/down * Modulation sensitivity: -1.0%, -2.0%, -3.0%, 0.5%, 1.0%, 1.5%, no modulation * (down/center) Power supply voltage : 3.3 V 0.3 V REF output, SOP-8 pin *: The Input frequency wide range, the modulation type and the modulation sensitivity are different to the option. Programmable (FRAM) MB88R157A * Input frequency : 10 to 50 MHz Modulation type : center Modulation sensitivity: 0.25%, 0.5%, 0.75%, 1.0%, 1.25%, 1.5%, 1.75%, no modulation (center) Power supply voltage : 3.3 V 0.3 V *: The Output frequency wide range and the modulation sensitivity can be arbitrarily set by the program. (Continued) 40 Spread Spectrum Clock Generator Spread Spectrum Clock Generator Power Input Output Efficiency of Modulation frequency Function supply frequency multiply Type voltage (MHz) (MHz) Modulation sensitivity SOP PD function disable MB88151A-100 x1 Package Other 16.6 to 33.4 MB88151A-101 PD function enable MB88151A-200 PD function disable x2 33.2 to 66.8 x4 66.4 to 133.6 x 1/2 8.3 to 16.7 x8 66.4 to 133.6 MB88151A-201 16.6 to 33.4 MB88151A-400 MB88151A-401 MB88151A-500 PD function -1.0%, -3.0% (down) enable 0.5%, 1.5% PD function (center) Down or disable no modulation center PD function (no modulation (selection) enable setting is no PD PD function product) disable PD function enable MB88151A-501 MB88151A-800 8.3 to 16.7 MB88151A-801 MB88152A-100 MB88152A-110 MB88152A-101 EMI noise MB88152A-111 reduction PLL MB88152A-102 (SSCG) MB88152A-112 3.3 0.3 16.6 to 40 33 to 67 40 to 80 66 to 134 16.6 to 40 33 to 67 40 to 80 66 to 134 16.6 to 40 33 to 67 16.6 to 40 33 to 67 40 to 80 66 to 134 40 to 80 66 to 134 ASSP Part number PD function disable PD function enable Down -1.0%, -3.0% Center 0.5%, 1.5% Down -1.0%, -3.0% no modulation Center 0.5%, 1.5% no modulation Down -1.0%, -3.0% no modulation Center 0.5%, 1.5% no modulation 8P -1.0%, no modulation MB88153A-100 Down MB88153A-101 MB88153A-110 16.6 to 40 66 to 134 33 to 67 40 to 80 x1 16.6 to 40 66 to 134 33 to 67 40 to 80 -3.0%, no modulation 0.5%, no modulation PD function enable Center 1.5%, no modulation MB88153A-111 MB88154A-101 50 to 80 50 to 80 MB88154A-102 33 to 67 33 to 67 MB88154A-103 16.6 to 40 16.6 to 40 MB88154A-111 50 to 80 50 to 80 MB88154A-112 33 to 67 33 to 67 MB88154A-113 16.6 to 40 16.6 to 40 MB88R157A : Under development 10 to 50 Programmable 1 to 134 Down -1.0%, -2.0%, -3.0%, no modulation REF output enable 0.5%, 1.0%, 1.5%, no modulation Center PD function 0.25%, 0.5%, enable, 0.75%, 1.0%, Programmable 1.25%, 1.5%, product 1.75%, no modulation Package: P - Plastic (Continued) 41 Spread Spectrum Clock Generator (Continued) Efficiency of multiply Part number x 1, x 4 MB88155 Features Input frequency : 12.5 to 50 MHz ( x 1) * 12.5 to 20 MHz ( x 4) Modulation type : center/down * Modulation sensitivity: -1.0%, -2.0%, 0.5%, 1.0%, no modulation * (down/center) Power supply voltage : 3.3 V 0.3 V Power down function / modulation enable function TSSOP-8 pin *: The Input frequency wide range, the modulation type and the modulation sensitivity are different to the option. x 1, x 2, (selection) MB88161 Input frequency : 20 to 28 MHz Modulation type : center/down (selection) Modulation sensitivity: -1.0%, -2.0%, -4.0%, 0.5%, 1.0%, 2.0%, no modulation (down/center) Power supply voltage : 3.3 V 0.3 V Power down function, BCC-18 pin MB88162 Input frequency : 12 to 28 MHz Modulation type : center/down (selection) Modulation sensitivity: -1.0%, -2.0%, -4.0%, 0.5%, 1.0%, 2.0%, no modulation (down/center) Power supply voltage : 3.3 V 0.3 V Power down function, BCC-18 pin MB88163 Input frequency : 12.5 to 26 MHz Modulation type : center Modulation sensitivity: 0.5%, no modulation (center) Power supply voltage : 1.8 V 0.15 V BCC-6 pin MB88181 * Input frequency : 16 to 32 MHz Modulation type : center Modulation sensitivity: 0.5%, 1.0%, 1.5%, 2.0%, no modulation (center) Power supply voltage : 3.3 V 0.3 V Clock output 8(Max.), power down function, TSSOP-20 pin x 1, x 4, (selection) x1 x 1/2 or more (MASK option) *: The efficiency of multiply and the output mode can be arbitrarily set by the mask option. Programmable (Setting of register) MB88182 42 Input frequency : 10 to 30 MHz Modulation type : center Modulation sensitivity: 0.25%, 0.5%, 0.75%, 1.0%, 1.25%, 1.5%, 1.75%, no modulation (center) Power supply voltage : 1.8 V 0.15 V, 2.6 V 0.1 V BCC-20 pin Spread Spectrum Clock Generator (Continued) 12.5 to 25 MB88155-100 Output frequency (MHz) Package Modulation Type 12.5 to 25 MB88155-101 25 to 50 25 to 50 MB88155-102 12.5 to 25 12.5 to 25 Modulation sensitivity Other -1.0%, -2.0% no modulation PD function disable -1.0%, -2.0% PD function enable 0.5%, 1.0% no modulation PD function disable TSSOP BCC ASSP Part number Power Input supply Efficiency frequency Function voltage of multiply (MHz) (V) Down MB88155-103 25 to 50 25 to 50 x1 MB88155-110 12.5 to 25 12.5 to 25 MB88155-111 25 to 50 25 to 50 MB88155-112 12.5 to 25 12.5 to 25 8P Center MB88155-113 3.3 0.3 25 to 50 Down MB88161 MB88162 MB88163 MB88181 MB88182 12.5 to 20 PD function enable -1.0%, -2.0% no modulation PD function disable -1.0%, -2.0% PD function enable 0.5%, 1.0% no modulation PD function disable 0.5%, 1.0% PD function enable 25 to 50 MB88155-400 MB88155-402 EMI noise reduction MB88155-410 PLL (SSCG) MB88155-412 0.5%, 1.0% x4 50 to 80 Center -1.0%, -2.0%, -4.0%, 0.5%, PD function enable 1.0%, 2.0%, no modulation -1.0%, -2.0%, 12 to 28 Down/ 12 to 28 ( x 1) -4.0%, 0.5%, PD function x 1, x 4 , ( x 1) Center 80 to 168 enable 1.0%, 2.0%, (selectable) 20 to 42 (selectable) ( x 4) no modulation ( x 4) 0.5%, 1.8 12.5 to 26 x1 12.5 to 26 no modulation 0.15 PD function 0.5%, 1.0%, enable, 3.3 x 1/2 or 20P 16 to 32 1.5%, 2.0%, 8 to 166 Clock output 0.3 more * no modulation Center 8(Max.) 0.25%, 0.5%, 1.8 V 0.75%, 1.0%, 0.15 V, Programmable 10 to 30 Programmable 1.25%, 1.5%, 8 to 100 product 3.3 V 1.75%, 0.3 V no modulation 12 to 28 ( x 1) 20 to 42 ( x 4) - x 1, x 4 , (selection) 12 to 28 ( x 1) 80 to 168 ( x 4) Down/ Center (selection) *: The efficiency of multiply and the output mode can be arbitrarily set by the mask option. 18P 18P 6P - 20P Package: P - Plastic 43 Spread Spectrum Clock Generator SSCG Simple Evaluation Board Part number MB88151A MB88152A MB88153A MB88154A MB88155 Remarks MB88151AEB01-100 MB88151A-100 mounted MB88151AEB01-101 MB88151A-101 mounted MB88151AEB01-200 MB88151A-200 mounted MB88151AEB01-201 MB88151A-201 mounted MB88151AEB01-400 MB88151A-400 mounted MB88151AEB01-401 MB88151A-401 mounted MB88151AEB01-500 MB88151A-500 mounted MB88151AEB01-501 MB88151A-501 mounted MB88151AEB01-800 MB88151A-800 mounted MB88151AEB01-801 MB88151A-801 mounted MB88152AEB01-100 MB88152A-100 mounted MB88152AEB01-110 MB88152A-110 mounted MB88152AEB01-101 MB88152A-101 mounted MB88152AEB01-111 MB88152A-111 mounted MB88152AEB01-102 MB88152A-102 mounted MB88152AEB01-112 MB88152A-112 mounted MB88153AEB01-100 MB88153A-100 mounted MB88153AEB01-101 MB88153A-101 mounted MB88153AEB01-110 MB88153A-110 mounted MB88153AEB01-111 MB88153A-111 mounted MB88154AEB01-101 MB88154A-101 mounted MB88154AEB01-102 MB88154A-102 mounted MB88154AEB01-103 MB88154A-103 mounted MB88154AEB01-111 MB88154A-111 mounted MB88154AEB01-112 MB88154A-112 mounted MB88154AEB01-113 MB88154A-113 mounted MB88155EB01-100 MB88155-100 mounted MB88155EB01-101 MB88155-101 mounted MB88155EB01-102 MB88155-102 mounted MB88155EB01-103 MB88155-103 mounted MB88155EB01-110 MB88155-110 mounted MB88155EB01-111 MB88155-111 mounted MB88155EB01-112 MB88155-112 mounted MB88155EB01-113 MB88155-113 mounted MB88155EB01-400 MB88155-400 mounted MB88155EB01-402 MB88155-402 mounted MB88155EB01-410 MB88155-410 mounted MB88155EB01-412 MB88155-412 mounted MB88161 MB88161EB01 MB88161 mounted MB88162 MB88162EB01 MB88162 mounted MB88163 MB88163EB01 MB88163 mounted MB88R157 MB88R157EB01 MB88R157 mounted * MB88182EB01-1A MB881821A mounted MB88182EB01-2A MB881822A mounted MB88182EB01-1B MB881821B mounted MB88182EB01-2B MB881822B mounted MB88182 *: Hardware or software for writing is required. 44 An oscillator, oscillation stable capacity, and a power supply line are required. Memory Product Line-up Memory Product Line-up Page No. Memory RAM Volatile ASM * Mobile FCRAM (Fast Cycle RAM) 32M-bit Async. /Sync. SRAM type FCRAM 46 128M-bit Async. /Sync. SRAM type FCRAM 46 16M-bit SDR-SDRAM type FCRAM 48 256M-bit SDR-SDRAM type FCRAM 48 256M-bit DDR-SDRAM type FCRAM 48 512M-bit DDR-SDRAM type FCRAM 48 FRAM (Single 3 V) 50 Consumer FCRAM (Fast Cycle RAM) for Consumer Products/ Embedded Systems Non-Volatile FRAM Memory for Mobile Phones/PDAs * : ASM =Application Specific Memory FCRAM is a trademark of Fujitsu Microelectronics Limited. SPANSIONTM Products ROM Non-Volatile & Rewritable Electrically erasable Flash Memory Flash Memory (Single 1.8 V) 52 Flash Memory (Single 3 V) 54 Flash Memory (MirrorBit) (Single 3 V) 56 Flash Memory (MirrorBit) (Single 1.8 V) 58/ SPI Flash Memory (MirrorBit) (Single 3 V) 60 MirrorBit is a trademark of Spansion Inc. 45 FCRAMTM (Fast Cycle RAM) (1) Type/Application FCRAM (Fast Cycle RAM) Mobile FCRAM for Mobile phones/ PDAs Density(Interface) 32M bits (Async. /Sync. SRAM type) Organization 2M x 16 Part Number MB82DP02183F MB82DBS02163F 128M bits (Async. /Sync. SRAM type) 8M x 16 MB82DBS08164D (Continued) FCRAM is a trademark of Fujitsu Microelectronics Limited. 46 FCRAMTM (Fast Cycle RAM) (1) Mobile FCRAM (Fast Cycle RAM) * 32M-bit Async. /Sync. SRAM Type FCRAM *1, *2, *3 Organization (W x b) 2M x 16 Part Number MB82DP02183F-65L MB82DBS02163F-70L Initial Supply Current Max. Access Page Mode Burst Mode Burst Clock Access Access Power Time Frequency Operating Standby Time Time Down Max. (MHz) Max. (mA) (A) Max. (ns) Max. (ns) (A) 4 (ns) * 65 20 N/A N/A 30 120 10 70 20 83 8 *5 30 120 10 Supply Voltage (V) 2.6 to 3.1 1.7 to 1.95 Memory *1: Compliant with COSMORAM spec *2: MB82DP02183F : with Page mode MB82DBS02163F : with SDR Burst mode & Page mode *3: Shipping form: Wafer, 71-pin FBGA package *4: At asynchronous operation *5: At RL = 5, 6 * 128M-bit Async. /Sync. SRAM Type FCRAM Organization (W x b) Part Number Initial Access Time Max. (ns) *3 8M x 16 MB82DBS08164D-70L 70 *1, *2 Supply Current Max. Page Mode Burst Clock Burst Mode Access Access Power Frequency Operating Standby Time Time Down Max. (MHz) Max. (ns) N/A Max. (ns) 77 6 *4 (mA) 35 (A) 200 *5 Supply Voltage (V) (A) 10 1.7 to 1.95 *1: Compliant with COSMORAM spec, with SDR Burst mode *2: Shipping form: Wafer Package support for mass production is T.B.D. *3: At asynchronous operation *4: At RL = 6 *5: TA +40 C 47 FCRAMTM (Fast Cycle RAM) (2) (Continued) Type/Application Consumer FCRAM for Consumer Products/ Embedded Systems Density (Interface) Organization 16M bits (SDR-SDRAM type) 2 x 512K x 16 MB81ES171625 MB81ES171625-X 2 x 256K x 32 MB81ES173225 MB81ES173225-X 256M bits (SDR-SDRAM type) 256M bits (DDR-SDRAM type) Part Number 4 x 2M x 32 MB81ES253245 4 x 1M x 64 MB81ES256445 4 x 2M x 32 MB81EDS253245 4 x 1M x 64 MB81EDS256445 MB81EDS256545 512M bits (DDR-SDRAM type) 4 x 2M x 64 MB81EDS516445 MB81EDS516545 FCRAM is a trademark of Fujitsu Microelectronics Limited. 48 FCRAMTM (Fast Cycle RAM) (2) Consumer FCRAM (Fast Cycle RAM) * 16M-bit SDR-SDRAM Type FCRAM *1, *2 2 x 512K x 16 2 x 256K x 32 Part Number Supply Voltage (V) MB81ES171625-12 85 11.7 10.2 30 1 1.65 to 1.95 MB81ES171625-15 66.7 15 12 30 1 1.65 to 1.95 MB81ES171625-15-X *3 66.7 15 12 30 1 1.65 to 1.95 MB81ES173225-12 85 11.7 10.2 30 1 1.65 to 1.95 MB81ES173225-15 66.7 15 12 30 1 1.65 to 1.95 MB81ES173225-15-X *3 66.7 15 12 30 1 1.65 to 1.95 *1: *2: *3: *4: *5: Single Data Rate SDRAM Interface Shipping form: Wafer Extended operating temperature Access Time = tAC Operating current is IDD1 (1 bank active) and Standby current is IDD2P (Power down mode) * 256M-bit SDR-SDRAM Type FCRAM *1, *2, *3 Organization (Bank x W x b) Clock Clock Period Access Time Frequency Min. (ns) Max. (ns) *4 Max. (MHz) Part Number 4 x 2M x 32 MB81ES253245 4 x 1M x 64 MB81ES256445 *1: *2: *3: *4: Supply Current Max. Operating (mA) Standby (mA) Supply Voltage (V) 166 6 6 75 5 1.7 to 1.95 166 6 6 75 5 1.7 to 1.95 Single Data Rate SDRAM Interface Operating temp.: Tj = -10 to +125 C Shipping form: Wafer Access Time = tAC * 256M-bit DDR-SDRAM Type FCRAM *1, *2, *3 Organization (Bank x W x b) 4 x 2M x 32 4 x 1M x 64 Clock Clock Period Access Time Frequency Min. (ns) Max. (ns) *4 Max. (MHz) Part Number Supply Current Max. Operating (mA) *5 Standby (mA) Supply Voltage (V) MB81EDS253245 216 4.6 6 235 5 1.7 to 1.95 MB81EDS256445 216 4.6 6 300 5 1.7 to 1.95 216 4.6 6 300 5 1.7 to 1.95 MB81EDS256545 * 6 *1: *2: *3: *4: *5: *6: Double Data Rate SDRAM Interface Operating temp.: Tj = -10 to +125 C Shipping form: Wafer Access Time = tAC Operating current is IDD4R (at burst read) with special function capability * 512M-bit DDR-SDRAM Type FCRAM *1, *2, *3 Organization (Bank x W x b) 4 x 2M x 64 Clock Clock Period Access Time Frequency Min. (ns) Max. (ns) *4 Max. (MHz) Part Number MB81EDS516445 MB81EDS516545 * 6 *1: *2: *3: *4: *5: *6: Supply Current Max. Operating (mA) *5 Standby (mA) Supply Voltage (V) 216 4.6 6 300 9 1.7 to 1.9 216 4.6 6 300 9 1.7 to 1.9 Double Data Rate SDRAM Interface Operating temp.: Tj = -10 to +125 C Shipping form: Wafer Access Time = tAC Operating current is IDD4R (at burst read) with special function capability 49 Memory Organization (Bank x W x b) Supply Current Max. *5 Clock Clock Period Access Time Frequency Operating Standby Min. (ns) Max. (ns) *4 Max. (MHz) (mA) (mA) FRAM (Ferroelectric RAM) FRAM Interface Supply Voltage Capacity Organization Part Number Access Time Parallel Interface Single 3V 256K-bit 32K x 8 MB85R256H 70 ns 1M-bit 128K x 8 MB85R1001 100 ns 64K x 16 MB85R1002 100 ns Clock Speed Serial Interface 50 Single 3V 256K-bit 32K x 8 MB85RS256 15 MHz FRAM (Ferroelectric RAM) FRAM Organization Interface (W x b) Part Number Access Time Max. (ns) Cycle Time Min. (ns) VCC Current Operating Packages Clock Supply Temperature Speed Voltage Range Max. Operating Standby (V) SOP TSOP FBGA TA (C) (MHz) (mA) (A) Parallel 32K x 8 MB85R256H 70 150 - 5 5 2.7 to 3.6 -40 to +85 28P 28P Parallel 128K x 8 MB85R1001 100 150 - 10 10 3.0 to 3.6 -40 to +85 - 48P - Parallel 64K x 16 MB85R1002 100 150 - 10 10 3.0 to 3.6 -40 to +85 - 48P 48P Serial 32K x 8 MB85RS256 - - 15 5 3 3.0 to 3.6 -20 to +85 8P - - - Memory Pakage : P - Plastic 51 Flash Memory (Single 1.8V) SPANSIONTM Products Flash Memory 52 Supply Voltage Capacity Organization Single 1.8V 8 M bit 1Mx8 512K x 16 16 M bit 2Mx8 1 M x 16 Variation Access Time Remarks S29AS008J PD 70 ns - S29AS016J PD 70 ns - Part Number Flash Memory (Single 1.8V) SPANSIONTM Products Flash memory (Single 1.8V) Access Cycle Time Time Max. Min. (ns) (ns) (W x b) Part Number 1Mx8 512 K x 16 S29AS008J70 70 2Mx8 1 M x 16 S29AS016J70 70 VCC Current Packages Supply Voltage (V) Operating Temperature Range TA (C) 5 1.65 to 1.95 -40 to +85 48 48 5 1.65 to 1.95 -40 to +85 48 48 Read (mA) Standby Mode (A) 70 16 (f = 5 MHz) 70 16 (f = 5 MHz) TSOP FBGA Memory Organization 53 Flash Memory (Single 3V) SPANSIONTM Products Flash Memory Supply Voltage Capacity Organization Single 3V 8M-bit 1M x 8 512K x 16 16M-bit 32M-bit 64M-bit 128M-bit Variation Access Time Remarks S29AL008J PD 55 to 70 ns *1 2M x 8 1M x 16 S29AL016J PD 55 to 70 ns *2 4M x 8 2M x 16 S29AL032D PD 70 to 90 ns *3 S29JL032H PD SRW 70 to 90 ns *4 S29PL032J PD PM SRW 55 to 70 ns *5 S29JL064H PD SRW 70 to 90 ns *6 S29PL064J PD PM SRW 55 to 70 ns *7 S29PL127J PD PM SRW 55 to 70 ns *8 8M x 8 4M x 16 8M x 16 Part Number Variation PD: Automatic sleep mode PM: Page mode SRW: Simultaneous Read / Write operation (Read-while-program or Readwhile-Erase) MirrorBit is a trademark of Spansion Inc. *1 : *2 : *3 : *4 : *5 : *6 : *7 : *8 : 54 (16Kbytes x 1sectors) + (8Kbytes x 2sectors) + (32Kbytes x 1sector) + (64Kbytes x 15sectors) (16Kbytes x 1sectors) + (8Kbytes x 2sectors + (32Kbytes x 1sector) + (64Kbytes x 31sectors) (16Kbytes x 1sectors) + (8Kbytes x 2sectors + (32Kbytes x 1sector) + (64Kbytes x 61sectors) (8Kbytes x 8sectors) + (64Kbytes x 63sectors) (2KWord x 16sectors) + (16KWord x 31sectors) (8Kbytes x 16sectors) + (64Kbytes x 126sectors) (2KWord x 16sectors) + (16KWord x 63sectors) (2KWord x 16sectors) + (16KWord x 127sectors) Flash Memory (Single 3V) SPANSIONTM Products Flash memory (Single 3V) (W x b) Part Number 1M x 8 512K x 16 S29AL008J55 S29AL008J70 70 70 2M x 8 1M x 16 S29AL016J55 55 55 4M x 8 2M x 16 8M x 8 4M x 16 55 55 S29AL016J70 70 70 S29AL032D70 70 70 S29AL032D90 90 90 S29JL032H70 70 70 S29JL032H90 90 90 S29PL032J55 55 55 S29PL032J60 60 60 S29PL032J65 65 65 S29PL032J70 70 70 S29JL064H70 70 70 S29JL064H90 90 90 S29PL064J55 55 55 S29PL064J60 60 60 S29PL064J65 65 65 S29PL064J70 70 70 S29PL127J55 55 55 S29PL127J60 60 60 S29PL127J65 65 65 S29PL127J70 70 70 VCC Current Read (mA) Standby Mode (A) 12 (f = 5 MHz) 5 12 (f = 5 MHz) 5 16 (f = 5 MHz) Supply Voltage (V) 3.0 to 3.6 Operating Temperature Range TA (C) Packages TSOP FBGA -40 to +85 -40 to +125 48 48 -40 to +85 -40 to +125 48 2.7 to 3.6 48 64 5 2.7 to 3.6 -40 to +85 40, 48 48 16 (f = 5 MHz) 5 2.7 to 3.6 -40 to +85 48 - 30 (f = 5 MHz) 5 2.7 to 3.6 -45 to +85 - 48 56 16 (f = 5 MHz) 5 2.7 to 3.6 -40 to +85 48 - 30 (f = 5 MHz) 5 2.7 to 3.6 -45 to +85 - 48 56 -45 to +85 56 50 2.7 to 3.6 3.0 to 3.6 2.7 to 3.6 8M x 16 30 (f = 5 MHz) 5 2.7 to 3.6 1.65 to 1.95 55 Memory Organization Access Cycle Time Time Max. Min. (ns) (ns) Flash Memory (MirrorBitTM) (Single 3 V) SPANSIONTM Products Supply Voltage Flash Memory MirrorBitTM Single 3V Capacity Organization Part Number Variation Access Time Remarks 90 ns *1 32M-bit 4M x 8 2M x 16 S29GL032N PD PM WB 64M-bit 8M x 8 4M x 16 S29GL064N PD PM WB 90 ns *2 128M-bit 16M x 8 8M x 16 S29GL128P PD PM WB 90 to 110 ns *3 256M-bit 32M x 8 16M x 16 S29GL256P PD PM WB 90 to 110 ns *4 512M-bit 64M x 8 32M x 16 S29GL512P PD PM WB 100 to 120 ns *5 32M x 16 S29GL512R PD PM WB 100 ns *5 128M x 8 64M x 16 S29GL01GP PD PM WB 110 to 130 ns *6 64M x 16 S29GL01GR PD PM WB 110 ns *6 1G-bit Variation PD : Automatic sleep mode PM: Page mode WB: Write buffer MirrorBit is a trademark of Spansion Inc. *1: Uniform sector model : 32Kword (64Kbytes) x 64sectors Boot sector model : 32Kword (64Kbytes) x 63sectors + 4Kword (8Kbytes) x 8sectors *2: Uniform sector model : 32Kword (64Kbytes) x 128sectors Boot sector model : 32Kword (64Kbytes) x 127sectors + 4Kword (8Kbytes) x 8sectors *3: Sector structure - 64Kword (128Kbytes) x 128sectors *4: Sector structure - 64Kword (128Kbytes) x 256sectors *5: Sector structure - 64Kword (128Kbytes) x 512sectors *6: Sector structure - 64Kword (128Kbytes) x 1024sectors S29512GR and S29GL01GR: Please contact to sales representatives on the detail schedule. 56 Flash Memory (MirrorBitTM) (Single 3 V) SPANSIONTM Products Flash memory (MirrorBit ) (Single 3V) (W x b) Part Number Access Cycle Time * Time Max. (ns) Min. (ns) VCC Current Read (mA) Operating Supply Voltage Temperature Standby Range (V) Mode T (C) A (A) Packages TSOP FBGA 4M x 8 2M x 16 S29GL032N90 90 (25) 90 30 (f = 5 MHz) 5 2.7 to 3.6 -40 to +85 48 56 48 64 8M x 8 4M x 16 S29GL064N90 90 (25) 90 30 (f = 5 MHz) 5 2.7 to 3.6 -40 to +85 48 56 48 64 S29GL128P90 90 (25) 90 3.0 to 3.6 0 to +85 -40 to +85 S29GL128P10 100 (25) 100 56 64 2.7 to 3.6 -40 to +85 3.0 to 3.6 0 to +85 -40 to +85 56 64 2.7 to 3.6 -40 to +85 3.0 to 3.6 0 to +85 -40 to +85 56 64 2.7 to 3.6 -40 to +85 3.0 to 3.6 0 to +85 -40 to +85 56 64 3.0 to 3.6 0 to +85 -40 to +85 56 64 2.7 to 3.6 -40 to +85 3.0 to 3.6 0 to +85 -40 to +85 56 64 16M x 8 8M x 16 32M x 8 16M x 16 64M x 8 32M x 16 32M x 16 128M x 8 64M x 16 64M x 16 S29GL128P11 110 (25) 110 S29GL256P90 90 (25) 90 S29GL256P10 100 (25) 100 S29GL256P11 110 (25) 110 S29GL512P10 100 (25) 100 S29GL512P11 110 (25) 110 S29GL512P12 120 (25) 120 S29GL512R10 100 (25) 100 S29GL01GP11 110 (25) 110 S29GL01GP12 120 (25) 120 S29GL01GP13 130 (25) 130 S29GL01GR11 110 (25) 110 55 (f = 5 MHz) 55 (f = 5 MHz) 5 5 55 (f = 5 MHz) 5 45 (f = 5 MHz) 100 55 (f = 5 MHz) 5 45 (f = 5 MHz) 100 Memory Organization Access Time : ( ) page access 57 Flash Memory (MirrorBitTM) (Single 1.8V) SPANSIONTM Products Flash Memory MirrorBitTM Supply Voltage Capacity Organization Single 1.8V 128 M-bit 8 M x 16 256 M-bit 512 M-bit Variation Access Time Remarks S29WS128P PD BM SRW HM 7.6 (80 MHz) to 13.5 (54 MHz) ns *1 S29WS256P PD BM SRW HM 7.6 (80 MHz) to 13.5 (54 MHz) ns *2 S29WS512P PD BM SRW HM 7.6 (80 MHz) to 13.5 (54 MHz) ns *3 Part Number 16 M x 16 32 M x 16 Variation PD: Automatic sleep mode BM: Burst mode SRW: Simultaneous Raad/Write operation (Read-while-program or Read-while-Erase) HM: Hand Shake Mode *1 : 16 Kword x 8sectors + 64 Kword x 126sectors *2 : 16 Kword x 8sectors + 64 Kword x 254sectors *3 : 16 Kword x 8sectors + 64 Kword x 510sectors MirrorBit is a trademark of Spansion Inc. 58 Flash Memory (MirrorBitTM) (Single 1.8V) SPANSIONTM Products Flash memory (MirrorBit ) (Single 1.8V) (W x b) Part Number S29WS128P0LBxW S29WS128P0PBxW 8 M x 16 S29WS128P0SBxW S29WS128PABBxW S29WS256P0LBxW S29WS256P0PBxW 16 M x 16 S29WS256P0SBxW S29WS256PABBxW S29WS512P0LBxW S29WS512P0PBxW 32 M x 16 S29WS512P0SBxW S29WS512PABBxW Access Time Max. (ns) 80 *1 80/13.5 *2 80 *1 80/11.2 *2 80 *1 80/9.0 *2 80 *1 80/7.6 *2 80 *1 80/13.5 *2 80 *1 80/11.2 *2 80 *1 80/9.0 *2 80 *1 80/7.6 *2 80 *1 80/13.5 *2 80 *1 80/11.2 *2 80 *1 80/9.0 *2 80 *1 80/7.6 *2 VCC Current Burst Speed (MHz) Read (mA) 54 39 *3 66 43 *3 80 48 *3 108 54 *3 54 39 *3 66 43 *3 80 48 *3 108 54 *3 54 36 *3 66 43 *3 80 48 *3 108 54 *3 Operating Temperature Standby Supply Voltage Range (V) Mode TA (C) (A) Packages FBGA 70 1.70 to 1.95 -25 to +85 84 70 1.70 to 1.95 -25 to +85 84 70 1.70 to 1.95 -25 to +85 84 Memory Organization *1: Asynchronous access time *2: Synchronous delay time/burst access time *3: At burst read Continuous mode (Max.) 59 Serial Peripheral Interface (MirrorBitTM) (Single 3V) SPANSIONTM Products Supply Voltage Flash Memory MirrorBitTM Single 3V Capacity 32 M-bit 64M-bit 128M-bit Organization 32 M x 1 16 M x 2 8Mx4 32 M x 1 16 M x 2 8Mx4 128 M x 1 32 M x 1 16 M x 2 8Mx4 Variation Access Time Remarks S25FL032P SI 104 MHz 80 MHz *1 S25FL064P SI 104 MHz 80 MHz *1 S25FL128P SI 104 MHz *2 S25FL129P SI 104 MHz 80 MHz *3 Part Number Variation SI: Serial interface *1 : Uniform 64KB sectors (Top or bottom boot sector : 32 x 4 K bytes parameter block) *2 : 256 KB x 64 sectors or 64 KB x 256 sectors *3 : Uniform 64KB sectors (Top or bottom boot sector : 32 x 4K bytes parameter block) or Uniform 256KB x 64 Sector MirrorBit is a trademark of Spansion Inc. 60 Serial Peripheral Interface (MirrorBitTM) (Single 3V) SPANSIONTM Products Flash memory (MirrorBit) (Single 3V) (W x b) Part Number 32 M x 1 16 M x 2 8Mx4 128 M x 1 Supply Voltage (V) Operating Temperature Range TA (C) 2.7 to 3.6 -40 to +85 Standby Mode (A) 25 (f = 104MHz) 200 80 38 (f = 80MHz) 200 2.7 to 3.6 -40 to +85 104 25 (f = 104MHz) 200 2.7 to 3.6 -40 to +85 80 38 (f = 80MHz) 200 2.7 to 3.6 -40 to +85 104 22 (f = 104MHz) 200 2.7 to 3.6 104 25 (f = 104MHz) 200 80 38 (f = 80MHz) 200 SON SOIC8 SOIC16 USON WSON SOIC16 WSON -40 to +85 SOIC16 WSON 2.7 to 3.6 -40 to +85 SOIC16 WSON 2.7 to 3.6 -40 to +85 SOIC16 WSON S25FL064P S25FL128P Packages SOIC S25FL032P 128 M x 1 64 M x 2 32 M x 4 VCC Current Read (mA) 104 64 M x 1 32 M x 2 16 M x 4 Clock speed (MHz) S25FL129P 61 Memory Organization Products Scheduled to be out of Production The productions listed below are scheduled to go out of production. If you are considering the use in the new applications, select the other series of products FCRAM Part number Description MB82D01181E-60L 16 Mbit Async. SRAM Type FCRAM MB82DS01181E-70L MB82DP02183C-65L 32 Mbit Async. SRAM Type FCRAM MB82DP02183E-65L MB82DBS02163C-70L 32 Mbit Async./Sync. SRAM Type FCRAM MB82DBS02163E-70L MB82DP04183C-65L MB82DP04183D-65L 64 Mbit Async. SRAM Type FCRAM MB82DP04184E-65L MB82DBS04163C-70L MB82DBS04163D-70L 64 Mbit Async./Sync. SRAM Type FCRAM MB82DBS04164E-70L MB82DBS08164C-70L 128 Mbit Async./Sync. SRAM Type FCRAM MB82DBS04314C-70L Flash Memory Parallel Flash Memory (3.0V) Part number S29AL004D Description 4Mbit, Access Time(ns): 70/90, Vcc: 2.7-3.6V Serial Flash Memory (MirrorBit) (3.0V) Part number 62 Description S25FL040A 4Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V S25FL008A 8Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V S25FL016A 16Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V S25FL032A 32Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V S25FL064A 64Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V ASIC Product Line-up ASIC Products Standard cell Macro-embedded type cell arrays Gate arrays CMOS CMOS Sea-of-Gate CMOS CS302 series with on-chip RAM, ROM, ADC/DAC 64 CS201 series with on-chip RAM, ROM, ADC/DAC 64 CS101 series More than 91,000,000 (on-chip) gates with on-chip RAM, ROM, ADC/DAC 65 CS91 series More than 48,000,000 (on-chip) gates with on-chip RAM, ROM, Multipliers, ADC/DAC 66 CS86 series More than 40,000,000 (on-chip) gates with on-chip RAM, ROM, FIFO, Delay Line, ADC/DAC 67 CS81 series More than 40,000,000 (on-chip) gates, 11 ps/gate with on-chip RAM, ROM, Multipliers, ADC/DAC 68 CS66 series More than 1,700,000 (on-chip) gates, 98 ps/gate with on-chip RAM, ROM, Multipliers, ADC/DAC 69 CE81 series Maximum of 34,000,000 (on chip) gates, 12 ps/gate with on-chip RAM, ROM, Multipliers, ADC/DAC 71 CE77 series Maximum of 10,000,000 (on chip) gates, 33 ps/gate with on-chip RAM, ROM, FIFO, Delay Line 72 CE71 series Maximum of 8,096,000 (on chip) gates, 29 ps/gate with on-chip RAM, ROM, Multipliers, ADC/DAC 74 CE66 series Maximum of 1,138,000 (on-chip) gates, 98 ps/gate with on-chip RAM, ROM, Multipliers, ADC/DAC. 76 CE61 series Maximum of 2,025,000 (on chip) gates, 85 ps/gate with on-chip RAM/ROM, Multipliers, ADC/DAC 78 Maximum of 1,568,000 (on chip) gates, 85 ps/gate CG61 series with on-chip RAM, Analog PLL embedment is possible in some frames ASIC Page No. ASIC Products 80 CG47 series Maximum of 55,000 (on chip) gates, 300 ps/gate with on-chip RAM, FIFO 82 CG46 series Maximum of 198,000 (on chip) gates, 300 ps/gate with on-chip RAM, FIFO 83 63 Standard Cell CS302 Series Features Technology : 40 nm Si-gate CMOS : Maximum 11-metal layers. Extreme Low-K (ultra low permittivity) material is used for dielectric inter-layers. : Three different types of core transistors (low leak, standard and high speed) can be used on the same chip. Supply voltage :+1.1V 0.1V Junction temperature range :-40 C to +125 C Support various cell sets (from low power versions to high speed versions) It supports energy-saving mode, multi mode SRAM. Compiled cells (RAM, ROM, others) Support low-consumption technology Support ultra high speed (up to 10 Gbps) interface macros Special interfaces (LVDS, SSTL, others) Supports boundary SCAN Supports use of industry standard libraries Supports use of industry standard tools. Short-term development using a physical prototyping tool. One pass design using a physical synthesis tools. Hierarchical design environment for supporting large-scale circuits. Supports Signal Integrity, EMI noise reduction Supports static timing sign-off Improve timing convergence by using Statistical Static Timing Analysis (SSTA). Design For Manufacturing (DFM) enables stable product-supply and reduced variation Package lineup : FBGA, PBGA, TEBGA, FC-BGA Note : Some items are in preparation. CS201 Series Features Technology : 65 nm Si-gate CMOS 6 to 12 layers of metal wiring. Ultra Low-K (low permittivity) material is used for dielectric inter-layers. Three different types of core transistors (low leak, standard and high speed) can be used on the same chip. Supply voltage : +0.9V to +1.3V (A wide range is supported.) Junction temperature range : -40 C to +125 C Reduced chip sized realized by I/O with pad. Supports a wide range of cell sets (from low power versions to high speed versions) IP macros : CPU (ARM11, ARM9, ARM7TDMI), DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM and others) It supports energy-saving mode, multi mode SRAM. It supports energy-saving technology "CoolAdjust"* Supports large capacity memory (1T-SRAM-Q) High-speed interface macro (up to 10 Gbps) Special interfaces (LVDS, SSTL and others) Supports use of industry standard libraries (. LIB) Uses industry standard tools and supports the optimum tools for the application. High reliability design estimation in the early stage of physical design realized by physical prototyping tool. Layout synthesis with optimized timing realized by physical synthesis tools. Hierarchical design environment for supporting large-scale circuits. High accuracy design environment considering dynamic drop in power supply voltages, signal noise, delay penalty, and crosstalk. I/O design environment (power line design, assignment and selection of I/Os, package selection) considering noise. Supports static timing sign-off Improved timing settling by introducing Statistical Timing Analysis (SSTA). Steady product supply and countermeasure for diffusion by introduction of DFM Supports memory (RAM/ROM) BIST Supports boundary SCAN Supports LOGIC BIST Supports transition delay test Package lineup : TEBGA, FBGA, PBGA, FC-BGA *: "CoolAdjust" is a generic name of Fujitsu Microelectronics's energy-saving technology Note: Some items are in preparation. 64 Standard Cell CS101 Series Features : Maximum of 91,000,000 gates : 90 nm Si-gate CMOS 6 to 10 layers of metal wiring. Low-K (low permittivity) material is used for all dielectric inter-layers. Three different types of core transistors (low leak, standard, and high speed) can be used on the same chip. Supply voltage : +0.9V to +1.3V (A wide range is supported.) Junction temperature range : -40 C to +125 C Gate delay time : tpd = 12 ps (1.2 V, Inverter, F/O = 1) Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, Inverter, F/O = 1) Reduced chip sized realized by I/O with pad. Supports a wide range of cell sets (from low power versions to high speed versions) Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incorporated. IP macros : CPU (ARM9, ARM7TDMI) , DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM and others) High-speed interface macro (up to 10 Gbps) Special interfaces (LVDS, SSTL_2 and others) Supports use of industry standard libraries (. LIB) Uses industry standard tools and supports the optimum tools for the application. High reliability design estimation in the early stage of physical design realized by physical prototyping tool. Layout synthesis with optimized timing realized by physical synthesis tools. Hierarchical design environment for supporting large-scale circuits. High accuracy design environment considering drop in power supply voltages, signal noise, delay penalty, and crosstalk. I/O design environment (power line design, assignment and selection of I/Os, package selection) considering noise. Supports static timing sign-off Supports memory (RAM/ROM) BIST Supports boundary SCAN Supports LOGIC BIST Supports transition delay test Package lineup : TEBGA, FBGA, PBGA, FC-BGA Note: Some items are in preparation. 65 ASIC Optimum gate count Technology Standard Cell CS91 Series Features Optimum gate count Technology : Maximum of 48,000,000 gates : 0.11 m Si-gate CMOS, 5- to 8-layer wiring (Copper is used as wire material) , Low-k Inter-layer material (Inter-layer material that has low permittivity) Supports 8 types of cell sets that differ in speed, integration, and power consumption. These cell sets can be mixed on a chip. Supply voltage : +1.2 V 0.1 V Junction temperature range : -40 to +125 C Gate delay time : tpd = 16 ps (1.2 V, Inverter, F/O = 1) Gate power consumption : Pd = 6.6 nW/MHz (1.2 V, Inverter, F/O = 1) High-speed interface macro (up to 10 Gbps) Special interfaces: P-CML, LVDS, PCI, USB, SSTL, HSTL, T-LVTTL, and others Buffer cells for crystal oscillation circuits. IP macros : CPU (ARM9, ARM7TDMI) , DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM/multiplier and others) Uses industry standard tools and supports the optimum tools for the application. Short-term development using a physical prototyping tool. Hierarchical design environment for supporting large-scale circuits. Supports Signal Integrity, EMI noise reduction Supports High resolution RC extraction base delay calculation environment Supports optimization environment of power supply wire Supports static timing sign-off Supports memory (RAM/ROM) BIST Supports boundary SCAN Supports LOGIC BIST Supports transition delay test Package lineup : FC-BGA (Max. 2116 pin), EBGA, HQFP, FBGA and others Note: Some items are in preparation. 66 Standard Cell CS86 Series : Maximum of 40,000,000 gates : 0.18 m Si-gate CMOS, 5- to 6-layer wiring Supports three types of internal cell sets (ultra high-speed, standard, low-leak) Capable of integrating a mixture of standard transistor cell and ultra high-speed process/ cell, and mixture of standard transistor cell and low leak process/cell on a single chip Supply voltage : +1.8 V 0.15V to +1.1V 0.1V Gate delay time : tpd = 88 ps (standard : 1.8 V, 2NAND, F/O = 2, standard load) tpd = 70 ps (ultra high-speed : 1.8 V, 2NAND, F/O = 2, standard load) tpd = 136 ps (low-leak : 1.8 V, 2NAND, F/O = 2, standard load) Leakage Current : 0.023 nW (standard : 1.8 V, 2NAND, F/O = 0, no load) 3.922 nW (ultra high-speed : 1.8 V, 2NAND, F/O = 0, no load) 0.0067 nW (low-leak : 1.8 V, 2NAND, F/O = 0, no load) Gate power consumption : 40.1 nW/MHz (standard : 1.8 V, 2NAND, F/O = 1, 4Grid) 42.7 nW/MHz (ultra high-speed : 1.8 V, 2NAND, F/O = 1, 4Grid) 38.3 nW/MHz (low-leak : 1.8 V, 2NAND, F/O = 1, 4Grid) Junction temperature range : -40 to +125 C Output buffer cells with noise reduction circuits Inputs with on-chip input pull-up/pull-down resistors and bidirectional buffer cells. Buffer cells for crystal oscillation circuits. Special interfaces : SSTL2, PCI, P-CML, T-LVTTL, USB2.0, IEEE1394, and others IP macros : CPU (FR-V, ARM9,and others), DSP, PCI, IEEE1394, USB2.0, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM/FIFO/Delay line, and others) Configurable internal bus circuits Advanced for hardware/software co-design environment Short-term development using a physical synthesis tool Low-power dissipation using a low power synthesis tool Short-term development using a timing driven layout tool Hierarchical design environment for supporting large-scale circuits Supports signal Integrity Supports memory (RAM, ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN Supports path delay test Supports transition delay test Package lineup : QFP, LQFP, HQFP, FBGA Packages The table below lists the available package types. Type Pin Count Material QFP 208, 240 Plastics LQFP 144, 176, 208, 256 Plastics HQFP 208, 240, 256, 304 Plastics FBGA 112, 144, 176, 192, 224, 272, 288, 240, 304, 368 Plastics Note: Contact Fujitsu Microelectronics for the availability. 67 ASIC Features Optimum gate count Technology Standard Cell CS81 Series Features Optimum gate count : Maximum of 40,000,000 gates Technology : 0.18 m Si-gate CMOS, 4- to 6-layer wiring Capable of integrating a mixture of high-speed processes and cells on a single chip Supply voltage : +1.8 V 0.15V to +1.1V 0.1V Gate delay time : tpd = 11 ps (1.8 V, Inverter, F/O = 1) Gate power consumption : 5nW/MHz/BC (1.1V, 2NAND, F/O = 1) Junction temperature range : -40 to +125 C High-speed interface macro (up to 3.125 Gbps) Output buffer cells with noise reduction circuits Inputs with on-chip input pull-up/pull-down resistors (33 k typical) and bidirectional buffer cells. Buffer cells for crystal oscillation circuits. Special interfaces: P-CML, LVDS, PCI, AGP, USB, SDRAM-I/F, SSTL, and others IP macros: CPU, DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM/multiplier, and others) Configurable internal bus circuits Advanced for hardware/software co-design environment Short-term development using a timing driven layout tool Supports static timing sign-off Dramatically reducing the time for generating test vectors for timing verification and the simulation time Hierarchical design environment for supporting large-scale circuits Simulation (before layout) considering the input through rate and high resolution RC extraction base delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Supports signal Integrity, EMI noise reduction Supports memory (RAM, ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN Supports At-Speed test on internal circuits Supports path delay test Supports transition delay test Package lineup : HQFP, LQFP, FBGA, FC-BGA Packages The table below lists the available package types. Type Pin Count Material HQFP 208, 240, 256, 304 Plastics LQFP 144, 176, 208 Plastics FBGA 112, 133, 176, 192, 224, 240, 272, 288, 304, 368 Plastics FC-BGA 1089, 1225, 1369, 1681, 1849, 2116 Plastics, Ceramic Note: Contact Fujitsu Microelectronics for the availability. 68 Standard Cell CS66 Series Features : Maximum of 1,700,000 gates : 0.35 m Si-gate, 3- to 4-layer metal wiring : +3.3 V 0.3 V to +2.0 V 0.1 V +5.0 V 10% (only for external interface; when internal requirements is 3.3 V) +3.3 V 10% (only for external interface; when internal requirements is 3.3 to 2.0 V) Gate delay time : tpd = 91 ps (high-speed type, F/O = 2, standard load) Gate power consumption : 0.29 W/MHz (F/O = 2, standard load) Junction temperature range : -40 to +125C High-load driving capability : IOL = 2 mA/4mA/8mA/12mA/24mA mixable. Output buffer cells with noise reduction circuits On-chip input pull-up/pull-down resistors (50 k typical) Buffer cells for crystal oscillation circuits. Configurable internal bus circuits Highly integrated RAM/ROM/multipliers mountable; arbitrary words/bits configurable. Clock skew layout design method (Cadence "CT-Gen") based on the floor plan information minimizes post-layout circuit modification, reducing turnaround time for development. Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Special interface (T-LVTTL and SDRAM-I/F, and others) Analog PLL Analog circuits (ADC, DAC, OPAMP and others) Macros for system ASICs (CPU core, CPU peripheral, operation macro, and others) Supports DFF scan test with MUX Supports memory (RAM/ROM) scan Supports memory (RAM) BIST Supports boundary SCAN ASIC Optimum gate count Technology Supply voltage 69 Standard Cell Number of gates used in each package The table below lists the available package types and the reference number of gates used. CS66 (P-frame) Package and pin count LQFP 0 2000K 4000K 6000K 8000K 10000K 12000K 100 144 176 208 14000K 16000K 1579K 1579K 1579K 1305K QFP 120 144 160 176 208 240 256 1579K 1579K 1579K 1579K 1579K 1579K 1579K HQFP 208 240 256 304 1579K 1579K 1579K 1579K PBGA 256 352 1579K 1579K FBGA 112 144 168 176 192 224 288 639K 639K 835K 1305K 1579K 1579K 1579K CS66 (S-frame) Package and pin count LQFP QFP 100K 200K 100 144 208 158K 158K 120 144 160 176 208 240 158K 158K HQFP 208 240 256 PBGA 256 352 FBGA 112 144 168 176 192 224 288 70 0 300K 400K 500K 600K 700K 800K 433K 228K 228K 358K 545K 358K 545K 545K 545K 807K 192K 228K 433K 228K 289K 433K 807K 900K Macro-Embedded Type Cell Arrays CE81 Series High Integration : Maximum of 34,000,000 BCs Technology : 0.18 m Si-gate CMOS, 4- to 6*1 -layer wiring Supply voltage : +1.8 V 0.15 V to +1.1 V 0.1 V Gate delay time : tpd = 12 ps (1.8V, Inverter, F/O = 1) Gate power consumption : 8nW/MHz/BC (1.1V, 2NAND, F/O = 1) Junction temperature range : -40 to +125 C Output buffer cells with noise reduction circuits Inputs with on-chip input pull-up/pull-down resistors (33 k typical) and bidirectional buffer cells. Buffer cells for crystal oscillation circuits. Special interfaces: P-CML, LVDS, PCI, AGP, USB, SDRAM-I/F, SSTL, and others IP macros: CPU, DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others Compiled cells (RAM/ROM/multipliers, and others) Configurable internal bus circuits Advanced for hardware/software co-design environment Short-term development using a timing driven layout tool Supports static timing sign-off Dramatically reducing the time for generating test vectors for timing verification and the simulation time. Hierarchical design environment for supporting large-scale circuits Supports optimization environment of power supply wire Simulation (before layout) considering of the input through rate and high resolution RC extraction base delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Supports Signal Integrity Supports memory (RAM, ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN Supports At-Speed test on internal circuits Supports path delay test Supports transition delay test Package lineup : HQFP, FBGA, LQFP Note: Some items are in preparation. *1: The 6-layer of the CE81 is dedicated for power supply (care required). Number of gates used in package The table below lists the available package types and the reference number of gates used. Package and pin count HQFP 208 240 256 304 304 LQFP 144 176 208 FBGA 112 176 192 240 288 368 0 2000K 4000K 6000K 8000K 10000K 12000K 14000K 16000K 1098K 2085K 3764K 15158K 4712K 722K 722K 1098K 514K 722K 1098K 2697K 2697K 4712K 71 ASIC Features Macro-Embedded Type Cell Arrays CE77 Series Features High integration : Maximum of 10,000,000 BCs Technology : 0.25 m Si-gate CMOS, 3- to 4-layer wiring Supply voltage : +2.5 V 0.2 V to +1.5 V 0.1 V Junction temperature range : -40 to +125C Gate delay time : tpd = 33 ps (2.5 V, Inverter, F/O = 1, No load) Gate power consumption : 0.02 W/MHz (1.5 V, Inverter, F/O = 1, No load) High-load driving capability : IOL = 2mA/4mA/8mA/12mA mixable. Output buffer cells with noise reduction circuits Inputs with on-chip input pull-up/pull-down resistors (25 k typical) and bidirectional buffer cells. Buffer cells for crystal oscillation circuits. Special interfaces (P-CML, LVDS, T-LVTTL, SSTL, PCI, USB, GTL+, and others) IP macros (CPU, PCI, USB, IrDA, PLL, DAC, ADC, and others) Compiled cells (RAM/ROM/FIFO/Delay Line, and others) Configurable internal bus circuits Advanced for hardware/software co-design environment Short-term development using a timing driven layout tool Hierarchical design environment for supporting large-scale circuits Supports static timing sign-off Dramatically reducing the time for generating test vectors for timing verification and the simulation time. Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Supports memory (RAM, ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN Supports path delay test Package lineup : SQFP, LQFP, HQFP, FBGA, PBGA 72 Macro-Embedded Type Cell Arrays Number of gates used in each package The table below lists the available package types and the reference number of gates used. CE77 (V-Frame) Package and pin count SQFP 176 208 240 HQFP 208 240 256 304 PBGA 0 1000K 2000K 3000K 4000K 5000K 6000K 7000K 8000K 9000K P P P 274K 803K 965K 1776K 2276K 1776K 256 Material P P P P 7128K P 618K P: Plastic Package and pin count LQFP HQFP FBGA PBGA 144 176 208 256 0 500K 1000K 256 352 420 2000K 2500K 3000K 3500K 4000K 4500K 5000K Material P P P P 976 K 744 K 1375 K 1841 K 208 240 256 304 144 176 224 288 1500K 1375 K 1609 K 2109 K 4538 K 461 K P P P P P P P P 646 K 1375 K 2109 K 1841 K 2678 K 3789 K P P P P: Plastic 73 ASIC CE77 (T-Frame) Macro-Embedded Type Cell Arrays CE71 Series Features High integration Technology Supply voltage : Maximum of 8,000,000 BCs : 0.25 m Si-gate CMOS, 3- to 4-layer metal wiring : +2.5 V 0.2 V to +1.5 V 0.1 V (5 V TTL interface is available if 5 V tolerant I/O is adopted. Some frames are under development.) Gate delay time : tpd = 29 ps (2.5 V, Inverter, F/O = 1, No load) Gate power consumption : 0.060 W/MHz (F/O = 1, No load) Junction temperature range : -40 to +125C High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA mixable. Output buffer cells with noise reduction circuits Inputs with on-chip input pull-up/pull-down resistors (25 k typical) and bidirectional buffer cells. Buffer cells for crystal oscillation circuits. Special interfaces (P-CML, LVDS, SDRAM-I/F, SSTL, and others) IP macros (SPARClite, FR40, F2MC16LX, PCI, IEEE1394, USB, IrDA, PLL, ADC/DAC, and others) Compiled cells (RAM/ROM/multipliers, and others) Configurable internal bus circuits Advanced for hardware/software co-design environment Linking floor plan tools and logic synthesis tools allows automatic optimization of the circuits using the floor plan information. The Clock Driven Design Method (CDDM) clock tree synthesis tools using the floor plan information are also available. Using the floor plan information in the pre-layout stage would eliminate the problems of setup after layout or timing problems for hold, significantly reducing the time to market. Supports the static timing sign off using the Synopsys CAD tool Prime Time. This contributes to the considerable reduction of time required for test vector creation for timing verification and the simulation time. Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Supports memory (RAM, ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN Package lineup : SQFP, LQFP, HQFP, PBGA, FBGA Number of gates used in each package The table below lists the available package types and the reference number of gates used. CE71 (J-Frame) Package and pin count SQFP 176 208 240 HQFP 208 240 256 304 PBGA 256 P: Plastic 74 0 1000K 2000K 3000K 4000K 5000K P P P 203K 592K 714K 1313K 1681K 1313K 457K Material 5345K P P P P P Macro-Embedded Type Cell Arrays CE71 (T-Frame) LQFP HQFP FBGA PBGA 144 176 208 256 0 1000K 256 352 420 3000K 4000K 5000K Material P P P P 341K 477K 1014K 1358K 208 240 256 304 144 176 224 288 2000K 1014K 1188K 1559K 3349K P P P P P P P P 341K 477K 1014K 1559K 1358K 1976K 2794K P P P ASIC Package and pin count P: Plastic 75 Macro-Embedded Type Cell Arrays CE66 Series Features High integration Technology Supply voltage : Maximum of 1,138,000 BCs : 0.35 m Si-gate, 3- to 4-layer metal wiring : +3.3 V 0.3 V to +2.0 V 0.1 V +5.0 V 10% (only for external interface; when internal requirements is 3.3 V) +3.3 V 10% (only for external interface; when internal requirements is 3.3 to 2.0 V) Gate delay time : tpd = 98 ps (high-speed type, F/O = 2, standard load) Gate power consumption : 0.29 W/MHz (F/O = 2, standard load) Junction temperature range : - 40 to 125C High-load driving capability : IOL = 2 mA/4mA/8mA/12mA/24mA mixable. Output buffer cells with noise reduction circuits On-chip input pull-up/pull-down resistors (50 k typical) Buffer cells dedicated to crystal oscillator Configurable internal bus circuits Highly integrated RAM/ROM/multipliers mountable; arbitrary words/bits configurable. Clock skew layout design method (CDDM) based on the floor plan information minimizes post-layout circuit modification, reducing turnaround time for development. Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supporting development with minimized timing trouble after trial manufacture. Special interfaces (T-LVTTL and SDRAM-I/F, and others) Analog PLL Analog circuits (ADC, DAC, OPAMP and others) Macros for system ASICs (CPU core, CPU peripheral, operational macros, and others) Supports DFF scan test with MUX Supports memory (RAM/ROM) SCAN Supports memory (RAM) BIST Supports boundary SCAN 76 Macro-Embedded Type Cell Arrays Number of gates used in each package The table below lists the available package types and the reference number of gates used. CE66 (P-frame) LQFP 0 100K 200K 300K 400K 500K 600K 700K 800K 900K 1000K 100 144 176 208 1100K 1200K 1138K 1138K 1138K 939K QFP 120 144 160 176 208 240 256 1138K 1138K 1138K 1138K 1138K 1138K 1138K HQFP 208 240 256 304 1138K 1138K 1138K 1138K PBGA 256 352 1138K 1138K FBGA 112 144 168 176 192 224 288 459K 459K ASIC Package and pin count 601K 939K 1138K 1138K 1138K CE66 (S-frame) Package and pin count 0 50K 100K 150K LQFP 100 144 208 112K 112K 112K 112K QFP 120 144 160 176 208 240 HQFP 208 240 256 PBGA 256 352 FBGA 112 144 168 176 192 224 288 200K 250K 300K 350K 400K 450K 500K 550K 600K 311K 163K 163K 256K 390K 256K 390K 390K 390K 579K 136K 163K 311K 163K 206K 311K 579K 77 Macro-Embedded Type Cell Arrays CE61 Series Features High Integration Technology Basic circuit (basic cell) Supply voltage : Maximum of 2,000,000 BCs : 0. 35 m Si-gate 3-layer metal wiring/4-layer metal wiring (There are restrictions applicable frames) : 2-input NAND/2-input NOR gates : +3.3 V 0.3 V to +2.0 V 0.1 V High voltage tolerant transistor for I/O; interface provided for 5 V devices (Also requiring a 5 V power supply for interface with 5 V devices) Gate delay time : High-speed type, tpd = 85 ps (2-input NAND, F/O = 2, standard load) Junction temperature range : 0 to +100C High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA/24 mA mixable. Power consumption : Reduced to 50% to 20% (over the CE51 Series) Output buffer cells with noise reduction circuits On-chip input pull-up/pull-down resistors (Typ. 50k) Buffer cells for crystal oscillation circuits. Configurable internal bus circuits Super high-integration RAM and ROM available. Compilable bit/word configuration Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing TAT Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supports development with minimized timing trouble after trial manufacture. Supports high speed interfaces [P-CML (200 MHz transmission), LVDS (250 MHz transmission), and SDRAM I/F, PCI,5 V tolerant, USB, IEEE 1284] PLL circuits Analog circuits (ADC, DAC) Macros for system ASICs (CPU core and CPU peripheral and operational macros, and others) Supports tests (for function/DC) using DFF scan with MUX Supports the test for RAM BIST, RAM SCAN and ROM SCAN Supports the Boundary SCAN Now under preparation on for a narrow-pitch pad technology and high-pin count BGA packages to be added to the current lineup Variety of package options to optimize any gate size 78 Macro-Embedded Type Cell Arrays Number of gates used in each package) The table below lists the available package types and the reference number of gates used." CE61 (F10 to F80) 0 100K 200K 300K 400K 500K 600K 700K 800K 900K 1000K1100K1200K1300K 64 80 100 120 144 160 160 176 176 208 208 240 240 256 256 304 86K 86K 86K 86K LQFP 64 80 100 86K 86K 86K HQFP 208 240 256 304 QFP BGA 256 352 420 PGA 256 299 361 401 593K 1317K 981K 593K 1317K 1317K 1317K 981K 981K 1317K 593K 1317K Material P P P P P P C P C P C P C C P C P P P 1317K 981K 1317K 981K 593K ASIC Package and pin count P P P P P P P 981K 981K 1317K 1317K 981K 1317K C C C C P : Plastic C : Ceramic CE61 (E7 to E71) Package and pin count QFP 0 100K 200K 300K 400K 500K 600K 700K 800K 900K 1000K 1100K 509K 509K 120 144 160 176 208 240 256 LQFP 64 80 100 HQFP 208 240 256 304 BGA 256 352 420 576 672 Material P P P P P P P 747K 509K 747K 747K 747K 78K P P P 128K 128K 1029K 1029K 1029K 1029K P P P P 1029K P P P P P 391K 391K 509K 747K P : Plastic 79 Sea-of-Gate Type CMOS Gate Arrays CG61 Series (Analog PLL embedment is possible in some frames) Features High Integration Technology Basic circuit (basic cell) Supply voltage 1,560,000 BCs 0. 35 m Si-gate CMOS, 3-layer metal wiring 2-input NAND/2-input NOR gates +3.3 V 0.3 V to +2.0 V 0.1 V (5 V TTL interface is possible when 5 V tolerant I/Os are used.) Gate delay time : tpd = 85 ps (3.3 V, 2-input NAND, F/O = 2, standard load) Gate power dissipation : 0.24 W/MHz (2.0 V, 2-input NAND, F/O = 2, standard load) Junction temperature range : 0 to +100 C High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA/24 mA mixable Output buffer cells with noise reduction circuits On-chip input pull-up/pull-down resistors (Typ. 50 k ) Buffer cells for crystal oscillation circuits Configurable internal bus circuits Compiled RAM can be embedded. Compilable bit/word configuration An analog PLL can be embedded in CG61P only. Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing TAT Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout), supports development with minimized timing trouble after trial manufacture. Supports high speed interfaces (T-LVTTL, P-CML, LVDS, SDRAM I/F) Supports tests using DFF scan with MUX Supports the test for RAM BIST and RAM SCAN 80 : : : : Sea-of-Gate Type CMOS Gate Arrays Number of gates used in each package The table below lists the available package types and the reference number of gates used. CG 61 (The frame which cannot use Analog PLL) Package and pin count 0 100K 200K 300K 400K 500K 600K 700K 800K 900K Material LQFP 120 144 222K 222K P P QFP 208 240 256 222K 222K P P P HQFP 331K 802K 208 240 256 304 P P P P 580K 580K 802K P: plastic Package and pin count 48 64 80 100 LQFP 120 144 176 208 QFP 240 256 0 20K 40K 60K 80K 100K 120K 140K 160K 180K 200K Material 188K 188K 188K 188K 188K 188K P P P P P P P P 188K 188K P P 16K 88K P: plastic 81 ASIC CG 61P (The frame which can use Analog PLL) Sea-of-Gate Type CMOS Gate Arrays CG47 Series Features High integration Technology Gate delay time Supply voltage : : : : Maximum 55,000 BCs (on chip) 0.65 m Si-gate CMOS, 2-layer metal wiring 300ps (power type 2-input NAND, standard load) +5 V 5%, +3.3 V 0.3 V [Dual power supply] Internal domain: +3.3 V 0.3 V, +5 V 5% (cannot be mixed) I/O: +3.3 V 0.3 V, +5 V 5% (can be mixed) Interface enabled between dual power sources Low power consumption enabled by operating internal supply voltage at 3.3V. Delay time estimation by detailed time equations Detailed time equations can be used for the estimation of delay time closer to that of actual devices. Buffer cells for crystal oscillations circuits Supports separate low frequency (32 kHz), and high frequency (1 to 40MHz) buffers, and oscillator stop function. Supports output open drain cell and input fail safe cells Compiled cells include single port RAM, dual port RAM, and FIFO memory. Note: The type of the RAM that can be used is specified depending on the internal power supply when the RAM is a single-port RAM. HISCAN (scan circuit automatic generation function) HISCAN is supported with single power supply, but dual power supply specifications and HISCAN are mutually exclusive. Simple interface CAD-to-CAD interface uses special language for logic data (FLDL) and test data (FTDL). Integrated development tools Number of gates used in each package The table below lists the available package types and the reference number of gates used. Package and pin count LQFP 48 64 80 100 120 144 176 208 QFP 240 82 0 5K 10K 15K 20K 25K 30K 35K 11K 21K 33K 33K 33K 33K 33K 33K 33K 40K 45K 50K Sea-of-Gate Type CMOS Gate Arrays CG46 Series Features : : : : : Maximum 198,084 BCs (on chip) 0.65 m Si-gate CMOS, 2-layer metal wiring 2-input NAND/2-input NOR gates TTL/CMOS level mixable +5 V 5% +3.3 V 0.3 V (optional) Gate delay time : Standard gate tpd = 360 ps (2-input NAND, standard load) Power gate tpd = 300 ps (2-input NAND, standard load) Operating temperature : 0 to +70C High-load driving capability : IOL = 3.2 mA/8 mA/12 mA/24 mA mixable Output buffer cells with noise reduction circuits On-chip input pull-up/pull-down resistors (Typ. 50 k) Buffer cells for crystal oscillations circuits Configurable internal bus circuits RAM and FIFO memory allowing arbitrary bit/word configuration Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing the period of time for development Delailed RC delay calculation minimized timing trouble after trial manufacture. Supports ATG (Automatic Test Generation) based on scan design Supports HISCAN (automatic scan generation) Simplified interface: CAD-to-CAD interface uses special language for logic data (FLDL) and test data (FTDL) . Integrated development tools Number of gates used in each package The table below lists the available package types and the reference number of gates used. Number of gates used (BC) Package and pin count LQFP QFP 48 64 80 100 120 144 176 208 208 240 0 10K 20K 30K 40K 50K 60K 70K 80K 90K 100K 10K 42K 42K 65K 65K 50K 50K 50K 50K 50K 83 ASIC High integration Technology Basic circuit (basic cell) Input level Supply voltage Package Line-up Package Line-up The packages are classified as follows, according to form, material, and the mounting methods for which they are suited. Packages Lead inserted type Matrix type Standard PGA Surface mounted type Flat type Dual lead SOP TSOP I TSOP II LSSOP TSSOP Quad lead QFP LQFP TQFP UQFP HQFP Leadless chip carrier Quad lead Matrix type QFN BGA FBGA SPGA Tape carrier 84 Dual lead DTP Quad lead QTP Package Line-up Name of package Description Lead pitch (mm) PGA Pin Grid Array Package SOP Small Outline Package (straight lead) Small Outline L-Leaded Package 1.27 SOL*2 Small Outline L-Leaded Package (JEDEC*1) 1.27 SSOP Shrink Small Outline L-Leaded Package 0.65/0.80/1.00 TSOP (I) Thin Small Outline L-Leaded Package (I) 0.50/0.55/0.60 TSOP (II) Thin Small Outline L-Leaded Package (II) 0.50/0.80/1.00/1.27 SON Small Outline Non-Leaded Package QFP Quad Flat Package (straight lead) Quad Flat L-Leaded Package LQFP*2 Low-Profile Quad Flat L-Leaded Package TQFP Thin Quad Flat L-Leaded Package HQFP QFP with Heat Sink LCC*2 Leadless Chip Carrier 1.27/2.54 0.50/1.00 0.40/0.50/0.65/0.80/1.00 0.40/0.50/0.65/0.80 0.40/0.50 0.40/0.50/0.65 1.016/1.27 QFN Quad Flat Non-Leaded Package BGA Ball Grid Array FBGA Fine pitch Ball Grid Array 1.27/1.0 0.8/0.75/0.65/0.5 DTP Dual Tape Carrier Package -- QTP Quad Tape Carrier Package -- *1: Joint Electron Device Engineering Council *2: Package name used by Fujitsu Microelectronics 85 Index Part number 86 Page Document Code CE61 series 78 - CE66 series 76 - CE71 series 74 CE77 series Part number Page Document Code FAR-F5KB-881M50-B4ED 12 - FAR-F5KB-881M50-B4EJ 12 - - FAR-F5KB-942M50-B4EB 12 - 72 DS06-20112-2E FAR-F6KA-1G5754-L4AA 12 - CE81 series 71 DS06-20110-5E FAR-F6KA-1G5754-L4AB 12 - CG46 series 83 - FAR-F6KA-1G5754-L4AJ 12 - CG47 series 82 - FAR-F6KA-1G7475-D4CY 12 - CG61 series 80 - FAR-F6KA-1G7675-D4CT 12 - CS101 series 65 DS06-20210-3E FAR-F6KA-1G8425-D4CK 12 - CS201 series 64 DS06-20211-2E FAR-F6KA-1G8625-D4DH 12 - CS302 series 64 - FAR-F6KA-1G8800-L4AF 12 - CS66 series 69 - FAR-F6KA-1G9500-D4DG 12 - CS81 series 68 DS06-20206-5E FAR-F6KA-1G9600-D4CR 12 - CS86 series 67 DS06-20209-3E FAR-F6KA-1G9600-D4DQ 12 - CS91 series 66 DS06-20208-3E FAR-F6KA-2G0175-D4DR 12 - FAR-D5GA-881M50-D1AA 10 - FAR-F6KA-2G1400-D4CG 12 - FAR-D5GC-911M50-D1CA 10 - FAR-F6KA-2G4418-A4VA 12 - FAR-D5GD-942M50-D1DF 10 - FAR-F6KA-2G4418-D4CU 12 - FAR-D5GF-881M50-D1FB 10 - FAR-F6KB-1G5754-B4GE 12 - FAR-D5GK-942M50-D1KF 10 - FAR-F6KB-1G5754-B4GU 12 - FAR-D5JB-881M50-D3AA 10 - FAR-F6KB-1G7675-B4GF 12 - FAR-D6GQ-1G9600-D1QBQ 10 - FAR-F6KB-1G8425-B4GA 12 - FAR-D6GZ-1G9600-D1ZA 10 - FAR-F6KB-1G8625-B4GG 12 - FAR-F4SE-35M230-A013 18 - FAR-F6KB-1G8625-B4GT 12 - FAR-F4SE-36M000-A005 18 - FAR-F6KB-1G9500-B4GJ 12 - FAR-F4SE-36M125-A001 18 - FAR-F6KB-1G9600-B4GB 12 - FAR-F4SE-36M125-H0E5 18 - FAR-F6KB-1G9600-B4GP 12 - FAR-F4SE-36M125-H0E7 18 - FAR-F6KB-2G1400-B4GC 12 - FAR-F4SE-36M125-H0E8 18 - FAR-F6KB-2G4418-B4GL 12 - FAR-F4SE-43M750-A006 18 - FAR-G5KG-942M50-Y4SD 12 - FAR-F4SE-43M750-H0AB 18 - FAR-G5KK-911M50-D4KE 12 - FAR-F4SE-44M000-A011 18 - FAR-G5KL-911M50-D4XC 12 - FAR-F4SE-44M000-H0A1 18 - FAR-G6KE-1G9600-Y4LY 12 - FAR-F4SE-44M000-H0A2 18 - FAR-G6KG-1G8425-Y4SA 12 - FAR-F4SE-44M000-H0A3 18 - FAR-G6KG-1G9500-Y4PG 12 - FAR-F4SE-44M000-H0A4 18 - FAR-G6KG-1G9600-Y4PB 12 - FAR-F4SE-44M000-H0A6 18 - FAR-G6KG-1G9600-Y4SC 12 - FAR-F4SE-44M000-H0A8 18 - FAR-G6KG-2G1400-Y4SH 12 - FAR-F4SE-44M000-H0A9 18 - FAR-K4SH-36M000-L0E1 18 - FAR-F4SE-44M000-H0AA 18 - FAR-K4SH-36M125-F001 18 - FAR-F4SE-44M000-H0AG 18 - MB15E03SL 4 DS04-21359-4E FAR-F4SE-44M000-H0AH 18 - MB15E05SL 4 DS04-21360-4E FAR-F4SE-47M250-H0AC 18 - MB15E05SR 4 DS04-21377-1E FAR-F4SE-57M000-H0J3 18 - MB15E06SR 4 DS04-21379-1E FAR-F4SE-57M000-H0J6 18 - MB15E07SL 4 DS04-21358-4E FAR-F4SE-57M000-H0J9 18 - MB15E07SR 4 DS04-21378-2E FAR-F4SE-57M000-H0JC 18 - MB15E64UV 8 - FAR-F5KA-836M50-D4CM 12 - MB15E65UV 8 - FAR-F5KA-836M50-D4DF 12 - MB15F07SL 6 DS04-21361-3E FAR-F5KA-881M50-D4DB 12 - MB15F63UL 8 DS04-21382-1E FAR-F5KA-897M50-D4DC 12 - MB15F72UL 6 DS04-21367-1E FAR-F5KA-942M50-D4DD 12 - MB15F72UV 6 DS04-21375-2E FAR-F5KB-836M50-B4EG 12 - MB15F73UL 6 DS04-21368-1E FAR-F5KB-836M50-B4ER 12 - MB15F73UV 6 DS04-21376-2E FAR-F5KB-881M50-B4EA 12 - MB15F74UL 6 DS04-21374-1E Index Part number Page Document Code MB15F74UV 6 DS04-21381-1E MB15F76UL 6 DS04-21373-1E MB15F78UL 6 MB15H121 Part number Page Document Code MB39A126 28 DS04-27248-1E MB39A130A 22 DS04-27269-1E DS04-21369-1E MB39A132 30 DS04-27265-3E 8 - MB39A134 30 DS04-27264-2E MB3759 22 DS04-27200-9E MB39A135 22 DS04-27263-2E MB3761 32 DS04-27300-4E MB39A136 22 DS04-27262-2E MB3763 34 DS04-29101-5E MB39A138 22 DS04-27270-1E MB3769A 22 DS04-27202-6E MB39C006A 24 DS04-27245-2E MB3771 32 DS04-27400-11E MB39C007 24 DS04-27246-2E MB3773 32 DS04-27401-8E MB39C011A 22 DS04-27260-2E MB3775 22 DS04-27204-5E MB39C014 24 DS04-27253-3E MB3778 22 DS04-27203-8E MB39C015 24 DS04-27254-2E MB3789A 22 DS04-27268-1E MB39C022G 24 DS04-27271-1E MB3793-27A 32 DS04-27404-3E MB39C022J 24 DS04-27271-1E MB3793-28A 32 - MB39C022L 24 DS04-27271-1E MB3793-30A 32 DS04-27406-5E MB39C022N 24 DS04-27271-1E MB3793-34A 32 - MB39C308 30 DS04-27261-6E MB3793-37A 32 DS04-27403-4E MB39C313 32 DS04-27267-1E MB3793-42 32 DS04-27402-5E MB39C313A 32 - MB3793-45 32 DS04-27405-2E MB39C316 24 DS04-27266-2E MB3800 22 DS04-27212-4E MB81EDS253245 48 NP05-11457-1E MB3817 22 DS04-27216-4E MB81EDS256445 48 DS05-11456-1E MB3825A 26 DS04-27220-5E MB81EDS256545 *6 48 DS05-11455-1E MB3832A 28 DS04-27701-4E MB81EDS516445 48 NP05-11464-1E MB3841 32 DS04-27603-2E MB81EDS516545 *6 48 DS05-11463-1E MB3842 32 DS04-27604-2E MB81ES171625-12 48 DS05-11407-3E MB3845 32 DS04-27604-2E MB81ES171625-15 48 DS05-11407-3E MB3863 34 DS04-29104-3E MB81ES171625-15-X *3 48 DS05-11408-3E MB3874 28 DS04-27704-3E MB81ES173225-12 48 DS05-11407-3E MB3875 28 DS04-27703-4E MB81ES173225-15 48 DS05-11407-3E MB3876 28 DS04-27704-3E MB81ES173225-15-X *3 48 DS05-11408-3E MB3877 28 DS04-27703-4E MB81ES253245 48 - MB3878 30 DS04-27706-2E MB81ES256445 48 NP05-11458-1E MB3879 28 DS04-27708-2E * MB82D01181E-60L 62 - MB3881 26 DS04-27224-2E * MB82DBS02163C-70L 62 - MB3882 22 DS04-27226-2E * MB82DBS02163E-70L 62 NP05-11450-2E MB3883 26 DS04-27225-4E MB82DBS02163F-70L 46 DS05-11462-1E MB3885 22 DS04-27227-2E * MB82DBS04163C-70L 62 - MB3887 30 DS04-27709-6E * MB82DBS04163D-70L 62 - MB3888 30 DS04-27710-2E * MB82DBS04164E-70L 62 DS05-11448-2E MB3889 22 DS04-27229-2E * MB82DBS04314C-70L 62 - MB39A102 26 DS04-27232-3E * MB82DBS08164C-70L 62 - MB39A103 26 DS04-27230-3E MB82DBS08164D-70L 46 DS05-11454-1E MB39A104 22 DS04-27231-5E * MB82DP02183C-65L 62 - MB39A106 22 DS04-27235-2E * MB82DP02183E-65L 62 - MB39A108 26 DS04-27237-2E MB82DP02183F-65L 46 DS05-11460-1E MB39A110 26 DS04-27236-3E * MB82DP04183C-65L 62 - MB39A112 24 DS04-27239-1E * MB82DP04183D-65L 62 - MB39A113 30 DS04-27240-1E * MB82DP04184E-65L 62 DS05-11447-3E MB39A114 28 DS04-27241-1E * MB82DS01181E-70L 62 - MB39A115 26 DS04-27242-1E MB85R1001 50 DS05-13103-7E MB39A116A 22 - MB85R1002 50 DS05-13104-5E MB39A119 30 DS04-27247-3E MB85R256H 50 DS05-13106-5E MB39A123 26 DS04-27257-2E MB85RS256 50 DS05-13105-3E MB39A125 30 DS04-27248-1E MB86434 2, 14 DS04-23003-1E : New product, *: Products scheduled to be out of production 87 Index Part number Page Document Code MB86435 2 DS04-23004-1E MB86437 2 DS04-23005-3E MB86667 20 MB86668 Part number Page Document Code MB88155-111 42 DS04-29119-2E MB88155-112 42 DS04-29119-2E - MB88155-113 42 DS04-29119-2E 20 - MB88155-400 42 DS04-29119-2E MB86967 14 - MB88155-402 42 DS04-29119-2E MB86977 14 DS04-22114-1E MB88155-410 42 DS04-29119-2E MB86978 14 - MB88155-412 42 DS04-29119-2E MB86A20S 20 - MB88161 42 DS04-29121-1E MB86A21 20 - MB88162 42 DS04-29122-1E MB86A27S 20 - MB88163 42 DS04-29137-1E MB86A27T 20 - MB88181 42 DS04-29130-1E MB86H01BA 20 - MB88182 42 - MB86H01BB 20 - MB88345 36 DS04-13508-2E MB86H52 20 - MB88346B 36 DS04-13501-3E MB86H55 20 - MB88346L 36 DS04-13511-3E MB86H56 20 - MB88347 36 DS04-13506-3E MB86H57 20 - MB88347L 36 DS04-13512-2E MB86H58 20 - MB88R157A 40 - MB86H60 20 - MB89R118B 34 DS04-33105-1E MB88101A 36 DS04-13105-3E MB89R119 34 DS04-33102-3E MB88111 36 DS04-13106-2E MB90050 16 DS04-28829-2E MB88141 36 DS04-13211-3E MB90092 16 DS04-28824-3E MB88141A 36 DS04-13213-1E MB90096 16 DS04-28826-5E MB88146A 36 DS04-13513-1E MB90097 16 DS04-28825-5E MB88151A-100 40 DS04-29127-3E MB90098A 16 DS04-28827-1E MB88151A-101 40 DS04-29127-3E MB90099 16 DS04-28828-3E MB88151A-200 40 DS04-29127-3E MSC1007 38 DS04-29131-1E MB88151A-201 40 DS04-29127-3E * S25FL008A 62 - MB88151A-400 40 DS04-29127-3E * S25FL016A 62 - MB88151A-401 40 DS04-29127-3E * S25FL032A 62 - MB88151A-500 40 DS04-29127-3E S25FL032P 60 - MB88151A-501 40 DS04-29127-3E * S25FL040A 62 - MB88151A-800 40 DS04-29127-3E * S25FL064A 62 - MB88151A-801 40 DS04-29127-3E S25FL064P 60 - MB88152A-100 40 DS04-29125-3E S25FL128P 60 - MB88152A-101 40 DS04-29125-3E S25FL129P 60 - MB88152A-102 40 DS04-29125-3E * S29AL004D 62 - MB88152A-110 40 DS04-29125-3E S29AL008J55 54 - MB88152A-111 40 DS04-29125-3E S29AL008J70 54 - MB88152A-112 40 DS04-29125-3E S29AL016J55 54 - MB88153A-100 40 DS04-29128-1E S29AL016J70 54 - MB88153A-101 40 DS04-29128-1E S29AL032D70 54 - MB88153A-110 40 DS04-29128-1E S29AL032D90 54 - MB88153A-111 40 DS04-29128-1E S29AS008J70 52 - MB88154A-101 40 DS04-29129-2E S29AS016J70 52 - MB88154A-102 40 DS04-29129-2E S29GL01GP11 56 - MB88154A-103 40 DS04-29129-2E S29GL01GP12 56 - MB88154A-111 40 DS04-29129-2E S29GL01GP13 56 - MB88154A-112 40 DS04-29129-2E S29GL01GR11 56 - MB88154A-113 40 DS04-29129-2E S29GL032N90 56 - MB88155-100 42 DS04-29119-2E S29GL064N90 56 - MB88155-101 42 DS04-29119-2E S29GL128P10 56 - MB88155-102 42 DS04-29119-2E S29GL128P11 56 - MB88155-103 42 DS04-29119-2E S29GL128P90 56 - MB88155-110 42 DS04-29119-2E S29GL256P10 56 - : Under development, *: Products scheduled to be out of production 88 Index Part number Page Document Code S29GL256P11 56 - S29GL256P90 56 - S29GL512P10 56 - S29GL512P11 56 - S29GL512P12 56 - S29GL512R10 56 - S29JL032H70 54 - S29JL032H90 54 - S29JL064H70 54 - S29JL064H90 54 - S29PL032J55 54 - S29PL032J60 54 - S29PL032J65 54 - S29PL032J70 54 - S29PL064J55 54 - S29PL064J60 54 - S29PL064J65 54 - S29PL064J70 54 - S29PL127J55 54 - S29PL127J60 54 - S29PL127J65 54 - S29PL127J70 54 - S29WS128P0LBxW 58 - S29WS128P0PBxW 58 - S29WS128P0SBxW 58 - S29WS128PABBxW 58 - S29WS256P0LBxW 58 - S29WS256P0PBxW 58 - S29WS256P0SBxW 58 - S29WS256PABBxW 58 - S29WS512P0LBxW 58 - S29WS512P0PBxW 58 - S29WS512P0SBxW 58 - S29WS512PABBxW 58 - SBF0402GPL 18 - SBF0402JPL 18 - SBF0407BPL 18 - SBF0408KPL 18 - SBF0408LPL 18 - SBSF03AAPL 18 - SBSF03ABPL 18 - T021 series 10 - T031 series 10 - V08 series 10 - V09 series 10 - V10x series 10 - VC-90 series 10 - 89 m e m o FUJITSU MICROELECTRONICS LIMITED Shinjuku Dai-Ichi Seimei Bldg., 7-1, Nishishinjuku 2-chome, Shinjuku-ku, Tokyo 163-0722, Japan Tel: +81-3-5322-3329 http://jp.fujitsu.com/fml/en/ For further information please contact: North and South America FUJITSU MICROELECTRONICS AMERICA, INC. 1250 E. Arques Avenue, M/S 333 Sunnyvale, CA 94085-5401, U.S.A. Tel: +1-408-737-5600 Fax: +1-408-737-5999 http://www.fma.fujitsu.com/ Asia Pacific FUJITSU MICROELECTRONICS ASIA PTE. LTD. 151 Lorong Chuan, #05-08 New Tech Park 556741 Singapore Tel : +65-6281-0770 Fax : +65-6281-0220 http://www.fmal.fujitsu.com/ Europe FUJITSU MICROELECTRONICS EUROPE GmbH Pittlerstrasse 47, 63225 Langen, Germany Tel: +49-6103-690-0 Fax: +49-6103-690-122 http://emea.fujitsu.com/microelectronics/ FUJITSU MICROELECTRONICS SHANGHAI CO., LTD. Rm.3102, Bund Center, No.222 Yan An Road(E), Shanghai 200002, China Tel : +86-21-6146-3688 Fax : +86-21-6335-1605 http://cn.fujitsu.com/fmc/ Korea FUJITSU MICROELECTRONICS KOREA LTD. 206 Kosmo Tower Building, 1002 Daechi-Dong, Gangnam-Gu, Seoul 135-280, Republic of Korea Tel: +82-2-3484-7100 Fax: +82-2-3484-7111 http://kr.fujitsu.com/fmk/ FUJITSU MICROELECTRONICS PACIFIC ASIA LTD. 10/F., World Commerce Centre, 11 Canton Road, Tsimshatsui, Kowloon, Hong Kong Tel : +852-2377-0226 Fax : +852-2376-3269 http://cn.fujitsu.com/fmc/en/ All Rights Reserved. The contents of this document are subject to change without notice. Customers are advised to consult with sales representatives before ordering. The information, such as descriptions of function and application circuit examples, in this document are presented solely for the purpose of reference to show examples of operations and uses of FUJITSU MICROELECTRONICS device; FUJITSU MICROELECTRONICS does not warrant proper operation of the device with respect to use based on such information. When you develop equipment incorporating the device based on such information, you must assume any responsibility arising out of such use of the information. FUJITSU MICROELECTRONICS assumes no liability for any damages whatsoever arising out of the use of the information. Any information in this document, including descriptions of function and schematic diagrams, shall not be construed as license of the use or exercise of any intellectual property right, such as patent right or copyright, or any other right of FUJITSU MICROELECTRONICS or any third party or does FUJITSU MICROELECTRONICS warrant non-infringement of any third-party's intellectual property right or other right by using such information. FUJITSU MICROELECTRONICS assumes no liability for any infringement of the intellectual property rights or other rights of third parties which would result from the use of information contained herein. The products described in this document are designed, developed and manufactured as contemplated for general use, including without limitation, ordinary industrial use, general office use, personal use, and household use, but are not designed, developed and manufactured as contemplated (1) for use accompanying fatal risks or dangers that, unless extremely high safety is secured, could have a serious effect to the public, and could lead directly to death, personal injury, severe physical damage or other loss (i.e., nuclear reaction control in nuclear facility, aircraft flight control, air traffic control, mass transport control, medical life support system, missile launch control in weapon system), or (2) for use requiring extremely high reliability (i.e., submersible repeater and artificial satellite). Please note that FUJITSU MICROELECTRONICS will not be liable against you and/or any third party for any claims or damages arising in connection with above-mentioned uses of the products. Any semiconductor devices have an inherent chance of failure. You must protect against injury, damage or loss from such failures by incorporating safety design measures into your facility and equipment such as redundancy, fire protection, and prevention of over-current levels and other abnormal operating conditions. Exportation/release of any products described in this document may require necessary procedures in accordance with the regulations of the Foreign Exchange and Foreign Trade Control Law of Japan and/or US export control laws. The company names and brand names herein are the trademarks or registered trademarks of their respective owners. Edited Sales Promotion Dept. (c)2009 FUJITSU MICROELECTRONICS LIMITED Printed in Japan 2009.10