FUJITSU MICROELECTRONICS
PRODUCT GUIDE
PG00-00091-3E
2009.10
Product Guide
[
ASSP
Memory
ASIC
]
Technical Documentation of Electronic Devices
PRODUCT GUIDE
(GENERAL)
DATA SHEET
ASSP
Memory
ASIC
Microcontroller
Package
Semiconductor Data Book
CD00-00031-3E
Technical Communication Department, Electronic Devices
A
CD-10131
CD-10131
MADE IN
MADE IN JAP
AN
AN
f
or
or
Wind
Windo
ws / Macintosh
ws / Macintosh
CD-ROM
DATA BOOK
(DVD)
[ASSP·Memory·ASIC]
MANUAL
DS04-27211-5E
FUJITSU SEMICONDUCTOR
DATA SHEET
ASSP For Power Supply Applications
BIPOLAR
Switching Regulator Controller
(Supporting External Synchronization)
MB3789
DESCRIPTION
The MB3789 is a PWM (pulse width modulation) switching regulator controller supporting an external sync signal.
The MB3789 incorporates two error amplifiers which can be used respectively for voltage control and current
control, allowing the IC to serve as a DC/DC converter with current regulating functions.
The MB3789 is the ideal IC for supplying power to the back-lighting fluorescent tube for a liquid crystal display
(LCD) device such as a camera-integrated VTR.
FEATURES
Wide range of operating power supply voltages: 3 V to 18 V
Low current consumption: 1.5 mA (Typ)
Wide input voltage range of error amplifier : –0.2 V to VCC – 1.8 V
Built-in two error amplifier
Oscillator capable of operating with an external sync signal
Built-in timer latch short protection circuit
Variable dead time provides control over total operating range
Output supporting a power MOSFET
16-pin SSOP package mountable at high density
PACK AGE
16-pin Plastic SSOP
(FPT-16P-M05)
DS04-27211-5E
FUJITSU SEMICONDUCTOR
DATA SHEET
ASSP For Power Supply Applications
BIPOLAR
Switching Regulator Controller
(Supporting External Synchronization)
MB3789
DESCRIPTION
The MB3789 is a PWM (pulse width modulation) switching regulator controller supporting an external sync signal.
The MB3789 incorporates two error amplifiers which can be used respectively for voltage control and current
control, allowing the IC to serve as a DC/DC converter with current regulating functions.
The MB3789 is the ideal IC for supplying power to the back-lighting fluorescent tube for a liquid crystal display
(LCD) device such as a camera-integrated VTR.
FEATURES
Wide range of operating power supply voltages: 3 V to 18 V
Low current consumption: 1.5 mA (Typ)
Wide input voltage range of error amplifier : –0.2 V to VCC – 1.8 V
Built-in two error amplifier
Oscillator capable of operating with an external sync signal
Built-in timer latch short protection circuit
Variable dead time provides control over total operating range
Output supporting a power MOSFET
16-pin SSOP package mountable at high density
PACK AGE
16-pin Plastic SSOP
(FPT-16P-M05)
DS04-27211-5E
FUJITSU SEMICONDUCTOR
DATA SHEET
ASSP For Power Supply Applications
BIPOLAR
Switching Regulator Controller
(Supporting External Synchronization)
MB3789
DESCRIPTION
The MB3789 is a PWM (pulse width modulation) switching regulator controller supporting an external sync signal.
The MB3789 incorporates two error amplifiers which can be used respectively for voltage control and current
control, allowing the IC to serve as a DC/DC converter with current regulating functions.
The MB3789 is the ideal IC for supplying power to the back-lighting fluorescent tube for a liquid crystal display
(LCD) device such as a camera-integrated VTR.
FEATURES
Wide range of operating power supply voltages: 3 V to 18 V
Low current consumption: 1.5 mA (Typ)
Wide input voltage range of error amplifier : –0.2 V to VCC – 1.8 V
Built-in two error amplifier
Oscillator capable of operating with an external sync signal
Built-in timer latch short protection circuit
Variable dead time provides control over total operating range
Output supporting a power MOSFET
16-pin SSOP package mountable at high density
PACK AGE
16-pin Plastic SSOP
(FPT-16P-M05)
DS05-11440-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
MEMORY
CMOS
128 M-BIT (4-BANK × 1 M-WORD × 32-BIT)
SINGLE DATA RATE I/F FCRAMTM
Consumer/Embedded Application Specific Memory for SiP
MB81ES123245-10
DESCRIPTION
The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*)
containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchro-
nous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which
enables high performance and simple user interface coexistence.
The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power
consumption and low voltage operation than regular synchronous DRAM (SDRAM) .
The MB81ES123245 is dedicated for SiP (System in a Package) , and ideally suited for various embedded/
consumer applications including digital AVs and image processing where a large band width and low power
consumption memory is needed.
* : FCRAM is a trademark of Fujitsu Limited, Japan.
PRODUCT LINEUP
01-542321SE18BMretemaraP
Clock Frequency (Max) CL=zHM 452
CL=zHM 8013
Burst Mode Cycle Time (Min) CL=sn 5.812
CL=sn 2.93
Access Time from CLK (Max) CL=sn 92
CL=sn 73
Am 53 )htgnel eg
ap 46( )xaM( tnerruC gnitarepO
Power Down Mode Current (Max) (IDD2PS Am 5.0 )
Self-Refresh Current (Max) Tj =+35° 002xaM C μA
DS05-11440-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
MEMORY
CMOS
128 M-BIT (4-BANK × 1 M-WORD × 32-BIT)
SINGLE DATA RATE I/F FCRAMTM
Consumer/Embedded Application Specific Memory for SiP
MB81ES123245-10
DESCRIPTION
The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*)
containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchro-
nous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which
enables high performance and simple user interface coexistence.
The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power
consumption and low voltage operation than regular synchronous DRAM (SDRAM) .
The MB81ES123245 is dedicated for SiP (System in a Package) , and ideally suited for various embedded/
consumer applications including digital AVs and image processing where a large band width and low power
consumption memory is needed.
* : FCRAM is a trademark of Fujitsu Limited, Japan.
PRODUCT LINEUP
01-542321SE18BMretemaraP
Clock Frequency (Max) CL=zHM 452
CL=zHM 8013
Burst Mode Cycle Time (Min) CL=sn 5.812
CL=sn 2.93
Access Time from CLK (Max) CL=sn 92
CL=sn 73
Am 53 )htgnel eg
ap 46( )xaM( tnerruC gnitarepO
Power Down Mode Current (Max) (IDD2PS Am 5.0 )
Self-Refresh Current (Max) Tj =+35° 002xaM C μA
DS05-11440-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
MEMORY
CMOS
128 M-BIT (4-BANK × 1 M-WORD × 32-BIT)
SINGLE DATA RATE I/F FCRAMTM
Consumer/Embedded Application Specific Memory for SiP
MB81ES123245-10
DESCRIPTION
The Fujitsu MB81ES123245 is a Single Data Rate Interface Fast Cycle Random Access Memory (FCRAM*)
containing 134,217,728 memory cells accessible in a 32-bit format. The MB81ES123245 features a fully synchro-
nous operation referenced to a positive clock edge whereby all operations are synchronized at a clock input which
enables high performance and simple user interface coexistence.
The MB81ES123245 is utilized using a Fujitsu advanced FCRAM core technology and designed for low power
consumption and low voltage operation than regular synchronous DRAM (SDRAM) .
The MB81ES123245 is dedicated for SiP (System in a Package) , and ideally suited for various embedded/
consumer applications including digital AVs and image processing where a large band width and low power
consumption memory is needed.
* : FCRAM is a trademark of Fujitsu Limited, Japan.
PRODUCT LINEUP
01-542321SE18BMretemaraP
Clock Frequency (Max) CL=zHM 452
CL=zHM 8013
Burst Mode Cycle Time (Min) CL=sn 5.812
CL=sn 2.93
Access Time from CLK (Max) CL=sn 92
CL=sn 73
Am 53 )htgnel eg
ap 46( )xaM( tnerruC gnitarepO
Power Down Mode Current (Max) (IDD2PS Am 5.0 )
Self-Refresh Current (Max) Tj =+35° 002xaM C μA
DS06-20210-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Semicustom
CMOS
Standard Cell
CS101 Series
DESCRIPTION
CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user’s demands for lower power
consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three
types of core transistors with a different threshold voltage can be mixed according to user application.
The design rules match industry standards, and a wide range of IP macros are available for use.
As well as providing a maximum of 100 million gates, approximately twice the level of integration achieved in
previous products, the power consumption per gate is also reduced by about half to 2.7 nW. Also, using the high-
speed library increases the speed by a factor of approximately 1.3, with a gate delay time of 12 ps.
FEATURES
Technology : 90 nm Si gate CMOS
7- to 10-metal layers.
Low-K (low permittivity) material is used for all dielectric inter-layers.
Three different types of core transistors (low leak, standard, and high speed)
can be used on the same chip.
The design rules comply with industry standard processes.
Power supply voltage : +1.2 V ± 0.1 V (standard)
Operation junction temperature : 40 °C to + 125 °C (standard)
Gate delay time : tpd = 12 ps (1.2 V, Inverter, F/O = 1)
Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, 2 NAND, F/O = 1)
High level of integration : Up to 91 million gates
Reduced chip sized realized by I/O with pad.
Support for a wide range of cell sets (from low power versions to ultra high speed versions).
Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incor po-
rated.
Compiled cell (RAM, ROM, others)
Support for ultra high speed (up to 10 Gbps) interface macros.
Special interfaces (LVDS, SSTL2, etc.)
Supports use of industr y standard libraries (.LIB).
Uses industry standard tools and suppo rts the optimum tools for the application.
(Continued)
DS06-20210-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Semicustom
CMOS
Standard Cell
CS101 Series
DESCRIPTION
CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user’s demands for lower power
consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three
types of core transistors with a different threshold voltage can be mixed according to user application.
The design rules match industry standards, and a wide range of IP macros are available for use.
As well as providing a maximum of 100 million gates, approximately twice the level of integration achieved in
previous products, the power consumption per gate is also reduced by about half to 2.7 nW. Also, using the high-
speed library increases the speed by a factor of approximately 1.3, with a gate delay time of 12 ps.
FEATURES
Technology : 90 nm Si gate CMOS
7- to 10-metal layers.
Low-K (low permittivity) material is used for all dielectric inter-layers.
Three different types of core transistors (low leak, standard, and high speed)
can be used on the same chip.
The design rules comply with industry standard processes.
Power supply voltage : +1.2 V ± 0.1 V (standard)
Operation junction temperature : 40 °C to + 125 °C (standard)
Gate delay time : tpd = 12 ps (1.2 V, Inverter, F/O = 1)
Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, 2 NAND, F/O = 1)
High level of integration : Up to 91 million gates
Reduced chip sized realized by I/O with pad.
Support for a wide range of cell sets (from low power versions to ultra high speed versions).
Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incor po-
rated.
Compiled cell (RAM, ROM, others)
Support for ultra high speed (up to 10 Gbps) interface macros.
Special interfaces (LVDS, SSTL2, etc.)
Supports use of industr y standard libraries (.LIB).
Uses industry standard tools and suppo rts the optimum tools for the application.
(Continued)
DS06-20210-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Semicustom
CMOS
Standard Cell
CS101 Series
DESCRIPTION
CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user’s demands for lower power
consumption and higher speed. The leakage current of the transistors is the minimum level in the industry. Three
types of core transistors with a different threshold voltage can be mixed according to user application.
The design rules match industry standards, and a wide range of IP macros are available for use.
As well as providing a maximum of 100 million gates, approximately twice the level of integration achieved in
previous products, the power consumption per gate is also reduced by about half to 2.7 nW. Also, using the high-
speed library increases the speed by a factor of approximately 1.3, with a gate delay time of 12 ps.
FEATURES
Technology : 90 nm Si gate CMOS
7- to 10-metal layers.
Low-K (low permittivity) material is used for all dielectric inter-layers.
Three different types of core transistors (low leak, standard, and high speed)
can be used on the same chip.
The design rules comply with industry standard processes.
Power supply voltage : +1.2 V ± 0.1 V (standard)
Operation junction temperature : 40 °C to + 125 °C (standard)
Gate delay time : tpd = 12 ps (1.2 V, Inverter, F/O = 1)
Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, 2 NAND, F/O = 1)
High level of integration : Up to 91 million gates
Reduced chip sized realized by I/O with pad.
Support for a wide range of cell sets (from low power versions to ultra high speed versions).
Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incor po-
rated.
Compiled cell (RAM, ROM, others)
Support for ultra high speed (up to 10 Gbps) interface macros.
Special interfaces (LVDS, SSTL2, etc.)
Supports use of industr y standard libraries (.LIB).
Uses industry standard tools and suppo rts the optimum tools for the application.
(Continued)
DS07-12614-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
“Check Sheet” is seen at the following support page
URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html
“Check Sheet” lists the minimal requirement items to be checked to prevent problems beforehand in system
development.
Be sure to refer to the “Check Sheet” for the latest cautions on development.
8-bit Proprietary Microcontrollers
CMOS
F2MC-8FX MB95100AM Series
MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/
MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/
MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103
DESCRIPTION
The MB95100AM series is general-purpose, single-chip microcontrollers. In addition to a compact instruction set,
the microcontrollers contain a variety of peripheral functions.
Note : F2MC is the abbreviation of FUJITSU Flexible Microcontroller.
FEATURE
F2MC-8FX CPU core
Instruction set optimized for controllers
Multiplication and division instructions
16-bit arithmetic operations
Bit test branch instruction
Bit manipulation instructions etc.
Clock
Main clock
Main PLL clock
Sub clock (for dual clock product)
Sub PLL clock (for dual clock product)
(Continued)
DS07-12614-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
“Check Sheet” is seen at the following support page
URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html
“Check Sheet” lists the minimal requirement items to be checked to prevent problems beforehand in system
development.
Be sure to refer to the “Check Sheet” for the latest cautions on development.
8-bit Proprietary Microcontrollers
CMOS
F2MC-8FX MB95100AM Series
MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/
MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/
MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103
DESCRIPTION
The MB95100AM series is general-purpose, single-chip microcontrollers. In addition to a compact instruction set,
the microcontrollers contain a variety of peripheral functions.
Note : F2MC is the abbreviation of FUJITSU Flexible Microcontroller.
FEATURE
F2MC-8FX CPU core
Instruction set optimized for controllers
Multiplication and division instructions
16-bit arithmetic operations
Bit test branch instruction
Bit manipulation instructions etc.
Clock
Main clock
Main PLL clock
Sub clock (for dual clock product)
Sub PLL clock (for dual clock product)
(Continued)
DS07-12614-2E
FUJITSU SEMICONDUCTOR
DATA SHEET
Copyright©2006 FUJITSU LIMITED All rights reserved
“Check Sheet” is seen at the following support page
URL : http://www.fujitsu.com/global/services/microelectronics/product/micom/support/index.html
“Check Sheet” lists the minimal requirement items to be checked to prevent problems beforehand in system
development.
Be sure to refer to the “Check Sheet” for the latest cautions on development.
8-bit Proprietary Microcontrollers
CMOS
F2MC-8FX MB95100AM Series
MB95108AM/F104AMS/F104ANS/F104AJS/F106AMS/F106ANS/F106AJS/
MB95F108AMS/F108ANS/F108AJS/F104AMW/F104ANW/F104AJW/F106AMW/
MB95F106ANW/F106AJW/F108AMW/F108ANW/F108AJW/FV100D-103
DESCRIPTION
The MB95100AM series is general-purpose, single-chip microcontrollers. In addition to a compact instruction set,
the microcontrollers contain a variety of peripheral functions.
Note : F2MC is the abbreviation of FUJITSU Flexible Microcontroller.
FEATURE
F2MC-8FX CPU core
Instruction set optimized for controllers
Multiplication and division instructions
16-bit arithmetic operations
Bit test branch instruction
Bit manipulation instructions etc.
Clock
Main clock
Main PLL clock
Sub clock (for dual clock product)
Sub PLL clock (for dual clock product)
(Continued)
The contents of this document are subject to change without notice.
Customers are advised to consult with FUJITSU sales representatives before ordering.
FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document.
FUJITSU SEMICONDUCTOR
DATA SHEET
LOW PROFILE QUAD FLAT PACKAGE
64 PIN PLASTIC
mm 56.0hctip daeLPFQL citsalp nip-46
Package width ×
package length 12× 12 mm
Lead shape Gullwing
Sealing method Plastic mold
Mounting height 1.70 mm MAX
Code
(Reference) P-LQFP64-12×12-0.65
64-pin plastic LQFP
(FPT-64P-M09)
(FPT-64P-M09)
C
2003 FUJITSU LIMITED F64018S-c-3-5
0.65(.026)
0.10(.004)
611
17
3249
64
3348
12.00±0.10(.472±.004)SQ
14.00±0.20(.551±.008)SQ
INDEX
0.30.05
(.013±.002) M
0.13(.005)
0.145±0.055
(.0057±.0022)
"A"
.059–.004
+.008
–0.10
+0.20
1.50
0~8˚
0.25(.010)
(Mounting height)
0.50±0.20
(.020±.008)
0.60±0.15
(.024±.006)
0.10±0.10
(.004±.004)
Details of "A" part
(Stand off)
0.10(.004)
*
Dimensions in mm (inches).
Note: The values in parentheses are reference values.
FPT-64P-M09
0212
Note 1)* : These dimensions do not include resin protrusion.
Note 2)Pins width and pins thickness include plating thickness.
Note 3)Pins width do not include tie bar cutting remainder.
The contents of this document are subject to change without notice.
Customers are advised to consult with FUJITSU sales representatives before ordering.
FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document.
FUJITSU SEMICONDUCTOR
DATA SHEET
LOW PROFILE QUAD FLAT PACKAGE
64 PIN PLASTIC
mm 56.0hctip daeLPFQL citsalp nip-46
Package width ×
package length 12× 12 mm
Lead shape Gullwing
Sealing method Plastic mold
Mounting height 1.70 mm MAX
Code
(Reference) P-LQFP64-12×12-0.65
64-pin plastic LQFP
(FPT-64P-M09)
(FPT-64P-M09)
C
2003 FUJITSU LIMITED F64018S-c-3-5
0.65(.026)
0.10(.004)
611
17
3249
64
3348
12.00±0.10(.472±.004)SQ
14.00±0.20(.551±.008)SQ
INDEX
0.30.05
(.013±.002) M
0.13(.005)
0.145±0.055
(.0057±.0022)
"A"
.059–.004
+.008
–0.10
+0.20
1.50
0~8˚
0.25(.010)
(Mounting height)
0.50±0.20
(.020±.008)
0.60±0.15
(.024±.006)
0.10±0.10
(.004±.004)
Details of "A" part
(Stand off)
0.10(.004)
*
Dimensions in mm (inches).
Note: The values in parentheses are reference values.
FPT-64P-M09
0212
Note 1)* : These dimensions do not include resin protrusion.
Note 2)Pins width and pins thickness include plating thickness.
Note 3)Pins width do not include tie bar cutting remainder.
The contents of this document are subject to change without notice.
Customers are advised to consult with FUJITSU sales representatives before ordering.
FUJITSU is unable to assume responsibility for infringement of any patent rights or other rights of third parties arising from the use of the information or package dimensions in this document.
FUJITSU SEMICONDUCTOR
DATA SHEET
LOW PROFILE QUAD FLAT PACKAGE
64 PIN PLASTIC
mm 56.0hctip daeLPFQL citsalp nip-46
Package width ×
package length 12× 12 mm
Lead shape Gullwing
Sealing method Plastic mold
Mounting height 1.70 mm MAX
Code
(Reference) P-LQFP64-12×12-0.65
64-pin plastic LQFP
(FPT-64P-M09)
(FPT-64P-M09)
C
2003 FUJITSU LIMITED F64018S-c-3-5
0.65(.026)
0.10(.004)
611
17
3249
64
3348
12.00±0.10(.472±.004)SQ
14.00±0.20(.551±.008)SQ
INDEX
0.32±0.05
(.013±.002) M
0.13(.005)
0.145±0.055
(.0057±.0022)
"A"
.059–.004
+.008
–0.10
+0.20
1.50
0~8˚
0.25(.010)
(Mounting height)
0.50±0.20
(.020±.008)
0.60±0.15
(.024±.006)
0.10±0.10
(.004±.004)
Details of "A" part
(Stand off)
0.10(.004)
*
Dimensions in mm (inches).
Note: The values in parentheses are reference values.
FPT-64P-M09
0212
Note 1)* : These dimensions do not include resin protrusion.
Note 2)Pins width and pins thickness include plating thickness.
Note 3)Pins width do not include tie bar cutting remainder.
ASSPMemoryASIC
Contents
ASSP ...............................................................................................................1
Telephone Products.....................................................................................................................................2
Mobile, Wireless Communication Products.................................................................................................4
Communication Control .............................................................................................................................14
Communication Network............................................................................................................................14
Display Control Products...........................................................................................................................16
Video/Audio Products................................................................................................................................18
Digital Demodulator ...................................................................................................................................20
ISDB-T OFDM............................................................................................................................................20
Video Encoder, Decoder............................................................................................................................20
Power Management Applications..............................................................................................................22
Motor Drivers.............................................................................................................................................34
RFID (FerVID family) ................................................................................................................................34
General-Purpose Converter.......................................................................................................................36
SD/SDHC card...........................................................................................................................................38
Spread Spectrum Clock Generator............................................................................................................40
Memory .........................................................................................................45
Mobile FCRAM (Fast Cycle RAM) .............................................................................................................46
Consumer FCRAM (Fast Cycle RAM) .......................................................................................................48
FRAM.........................................................................................................................................................50
Flash Memory *..........................................................................................................................................52
Products Scheduled to be out of Production..............................................................................................62
ASIC ..............................................................................................................63
Standard Cell .............................................................................................................................................64
Macro-Embedded Type Cell Arrays ..........................................................................................................71
Sea-of-Gate Type CMOS Gate Arrays .....................................................................................................80
Package Line-up...........................................................................................84
Index..............................................................................................................86
* : SPANSION TM Products
Trademarks
Trademarks:
Ethernet is a registered trademark of XEROX Corporation in the United States.
•FCRAM is a trademark of Fujitsu Microelectronics Limited, Japan.
•FerVID family is a trademark of Fujitsu Microelectronics Limited, Japan.
MirrorBit is a trademark of Spansion Inc.
SPANSION is a trademark of Spansion Inc.
Amplify is a registered trademark of Synplicity, Inc.
Other company names and brand names are the trademarks or registered trademarks of their respective owners.
1
ASSP
ASSP Product Line-up
ASSP Product Line-up
Page No.
ASSP Telecom Telephones2
Mobile, Wireless
communication PLL Frequency Synthesizers4
Short range wireless 8
VCO, Transmitter Module, SAW Duplexer 10
SAW Filter 12
Dual SAW Filter 12
Communication Control
Communication Network
Communication
Control ISDN 14
IP Packet Processing Engine 14
High-speed IPsec Processing Engine 14
Communication
Network LAN 14
Video Equipment ProductsDisplay Control Products16
Video/Audio Products18
Digital Demodulator 20
ISDB-T OFDM 20
Video Encoder, Decoder 20
Power Management
ApplicationsAC/DC Converters22
General Purpose DC/DC Converters22
DC/DC Converters with Switching FET 24
DC/DC Converters with Switching FET + LDO 24
Power Management IC for Portable Products24
DSC/Camcorder DC/DC Converters26
Charge Control 28
DC/DC Converters for UMPC 30
Voltage Detectors32
Supply Voltage Monitoring Applications32
Power Management Switches32
LCD Panel 32
Motor Drivers34
RFID (FerVID family) 34
General-Purpose Converter 36
SD/SDHC card 38
Spread Spectrum Clock
Generator 40
2
Telephone Products
Telephones
Part number Features
ISDN
telephones
digital
SerialMB86434 AIU * LSI for ISDN digital telephone
*: Audio Interface Unit
Digital
telephones
mobile
MB86435 3 V single power supply AIU
MB86437 3 V single power supply AIU
3
ASSP
Telephone Products
Telephone Products
ISDN Digital Telephone LSIs
Package: P - Plastic
LSIs for Digital Mobile Telephones
Package: P - Plastic
Part number Functions CODEC Power supply
voltage (V)
Package
QFP
MB86434
AIU for ISDN digital telephones
CODEC, DTMF tones, service tone
Internal ringer tone
A-laW
μ-laW
14-bit linear
+5±5% 64P
Part number Functions Compression law Power supply voltage (V) Package
LQFP
MB86435
3 V single power supply AIU
A-laW
μ-laW
linear
2.7 to 3.6
64P
MB86437 48P
4
Mobile, Wireless Communication Products
Mobile, Wireless
Communication
Input frequency
band of prescaler PLL type Prescaler
divide ratio Part number Features
PLL
Frequency
Synthesizers
Low
Noize
Single
Integer-N
PLL
100 MHz
to 2.5 GHz RF Integer-N 32/33, 64/65 MB15E07SRFor digital telecommunications
equipment, Low noise
700 MHz
to 3.0 GHz RF Integer-N 64/65,
128/129 MB15E06SRFor digital telecommunications
equipment, Low noise
300 MHz
to 2.0 GHz RF Integer-N 64/65,
128/129 MB15E05SRFor digital telecommunications
equipment, Low noise
Single
Integer-N
PLL
700 MHz
to 2.5 GHz RF Integer-N 32/33, 64/65 MB15E07SL
For digital telecommunications
equipment,
Low power dissipation
100 MHz
to 2.0 GHz RF Integer-N 64/65,
128/129 MB15E05SL
For digital telecommunications
equipment,
Low power dissipation
100 MHz
to 1.2 GHz RF Integer-N 64/65,
128/129 MB15E03SL
For digital telecommunications
equipment,
Low power dissipation
Integer-N :Integer-N technology
Sigma-Delta:Sigma-Delta fractional-N technology
(Continued) (Continued)
5
ASSP
Mobile, Wireless Communication Products
Mobile, Wireless Communication Products
PLL Frequency Synthesizers
Low Noize Single Integer-N PLL
Package: P - Plastic
Single Integer-N PLL
Package: P - Plastic
Part number
Input
frequency
band (Hz) PLL
Type
Divide ratio Power
supply
current
typ
(mA)
Power
save
current
typ
(μA)
Power supply
voltage
(V)
Package
min max Prescaler Program
counter
Swallow
counter
Reference
counter min typ max BCC
TSSOP
MB15E07SR 100M 2.5G
Integer
-N
32/33,
64/65 Binary
11bit
3 to 2047
Binary
7bit
0 to 127
Binary
14bit
3 to 16383
8.0 0.1 2.7 3.75 5.0 16P 16P
MB15E06SR 700M 3.0G 64/65,
128/129 8.0 0.1 2.7 3.0 4.0 16P 16P
MB15E05SR 300M 2.0G 64/65,
128/129 7.0 0.1 2.7 3.75 5.0 16P 16P
Part number
Input
frequency
band (Hz) PLL
Type
Divide ratio Power
supply
current
typ
(mA)
Power
save
current
typ
(μA)
Power supply
voltage
(V)
Package
min max Prescal
er
Program
counter
Swallow
counter
Reference
counter min typ max SSOP BCC
MB15E07SL 700M 2.5G
Integer
-N
32/33,
64/65 Binary
11bit
3 to 2047
Binary 7bit
0 to 127
Binary
14bit
3 to 16383
4.5 0.1 2.4 3.0 3.6 16P 16P
MB15E05SL
100M
2.0G 64/65,
128/129 3.5 0.1 2.4 3.0 3.6 16P 16P
MB15E03SL1.2G64/65,
128/129 2.5 0.1 2.4 3.0 3.6 16P 16P
6
Mobile, Wireless Communication Products
(Continued) (Continued)
Input frequency
band of prescaler PLL type Prescaler
divide ratio Part number Features
Dual
Integer-N
PLL
400 MHz
to 2.6 GHz RF Integer-N 32/33, 64/65
MB15F78UL
For digital telecommunications
equipment
Low noise
Low power dissipation
100 MHz
to 1.2 GHz IF Integer-N 16/17, 32/33
2.0 GHz
to 6.0 GHz RF Integer-N 16/17, 32/33
MB15F76UL For digital high-speed telecom-
munications equipment
100 MHz
to 1.5 GHz IF Integer-N 4/5, 8/9
(Fixed part 4 division)
2.0 GHz
to 4.0 GHz RF Integer-N 64/65, 128/129
MB15F74UV
Small Package
For digital high-speed telecommuni-
cations equipment
200 MHz
to 2.0 GHz IF Integer-N 32/33, 64/65
MB15F74UL For digital high-speed telecom-
munications equipment
200 MHz
to 2.25 GHz RF Integer-N 64/65, 128/129
MB15F73UV
Small Package
For digital high-speed telecommuni-
cations equipment
50 MHz
to 600 MHz IF Integer-N 8/9, 16/17
MB15F73UL For digital high-speed telecom-
munications equipment
100 MHz
to 1.3GHz RF Integer-N 64/65, 128/129
MB15F72UV
Small Package
For digital high-speed telecommuni-
cations equipment
50 MHz
to 350 MHz IF Integer-N 8/9, 16/17
MB15F72UL For digital high-speed telecom-
munications equipment
100 MHz
to 1.1GHz RF Integer-N 64/65, 128/129
MB15F07SLFor digital high-speed telecommuni-
cations equipment
Low noise
100 MHz
to 1.1GHz IF Integer-N 64/65, 128/129
Integer-N :Integer-N technology
Sigma-Delta:Sigma-Delta fractional-N technology
(Continued) (Continued)
7
ASSP
Mobile, Wireless Communication Products
Dual Integer-N PLL
Package: P - Plastic
Part number
Input
frequency
band (Hz) PLL
Type
Divide ratio Power
supply
current
typ
(mA)
Power
save
current
typ
(μA)
Power supply
voltage
(V)
Package
min max Prescaler Program
counter
Swallow
counter
Referenc
e counter min typ max BCC
TSSOP
MB15F74UV 2.0G
200M
4.0G
2.0G
Integer
-N
RF : 64
/
65
,
128
/
129
IF : 32/33, 64/65
Binary
11bit
3 to 2047
Binary
7bit
0 to 127
Binary
14bit
3 to 16383
6.5
2.5
0.1
0.1 2.7 3.0 3.6 18P
MB15F73UV 200M
50M
2.25G
600M
RF : 64/65, 128/129
IF : 8/9, 16/17
2.0
1.2
0.1
0.1 2.4 2.7 3.6 18P
MB15F72UV 100M
50M
1.3G
350M
RF : 64
/
65
,
128
/
129
IF : 8/9, 16/17
1.5
1.0
0.1
0.1 2.4 2.7 3.6 18P
MB15F78UL 400M
100M
2.6G
1.2G
RX : 32/33, 64/65
TX : 16/17, 32/33
Binary
11bit
3 to 2047
Binary
7bit
0 to 127
Binary
14bit
3 to 16383
2.8
1.7
0.1
0.1 2.4 2.7 3.6 20P 20P
MB15F76UL 2.0G
100M
6.0G
1.5G
RF : 16/17, 32/33
(Fixed part 4 division)
IF : 4/5, 8/9
(Fixed part 4 division)
Binary
13bit
3 to 8191
Binary
5bit
0 to 31
Binary
14bit
3 to 16383
6.2
2.3
0.1
0.1 2.5 3.0 3.6 20P
MB15F74UL 2.0G
200M
4.0G
2.0G
RF : 64/65,128/129
IF : 32/33,64/65
Binary
11bit
3 to 2047
Binary
7bit
0 to 127
Binary
14bit
3 to 16383
6.5
2.5
0.1
0.1 2.7 3.0 3.6 20P
MB15F73UL 200M
50M
2.25G
600M
RF : 64/65,128/129
IF : 8/9,16/17
2.0
1.2
0.1
0.1 2.4 2.7 3.6 20P 20P
MB15F72UL 100M
50M
1.3G
350M
RF : 64/65,128/129
IF : 350M: 8
/
9,16
/
17
1.5
1.0
0.1
0.1 2.4 2.7 3.6 20P 20P
MB15F07SL100M
100M
1.1G
1.1G
64/65,128/129
64/65,128/129
Binary
11bit
3 to 2047
Binary
7bit
0 to 127
Binary
14bit
3 to 16383
5.5
5.5
0.1
0.1 2.5 3.0 3.6 16P 16P
8
Mobile, Wireless Communication Products
(Continued) (Continued)
Input frequency
band of
prescaler
PLL type Prescaler
divide ratio Part number Features
Single
Sigma-
Delta
Fractional-
N PLL
100 MHz to
2.0 GHz RF Sigma-Delta16/17 MB15E65UV High-speed lock-up/Low noize
Modulo : 218/ 215
100 MHz to
3.5 GHz RF Sigma-Delta16/17 MB15E64UV High-speed lock-up/Low noize
Modulo : 218/ 215
Single
Sigma-Delta
Fractional-N
PLL (RF)
&
Integer-N
PLL (IF)
100MHz to
2.0 GHz RF Sigma-Delta16/17, 20/21
MB15F63UL
High-speed lock-up
Modulo : 220
LPF switch
50 MHz to
600MHz IF Integer-N 8/9, 16/17
Short range wireless 430 MHz
MB15H121
Prescaler divide ratio
8/9
PA, ΣΔPLL, FSK-MOD, LNA,
MIXER, LIMAMP, RSSI, FSK-DEM,
VCO TANK circuit (internal)
(Continued)
9
ASSP
Mobile, Wireless Communication Products
Single Sigma-Delta Fractional-N PLL
Package: P - Plastic
Single Sigma-Delta Fractional-N PLL (RF) & Integer-N PLL (IF)
Package: P - Plastic
Specific power saving communication
Package: P - Plastic
Part number
Input
frequency
band (Hz) PLL
Type
Divide ratio Power
supply
current
typ
(mA)
Power
save
current
typ
(μA)
Power supply
voltage
(V)
Package
min max Prescaler Program
counter
Swallow
counter
Reference
counter min typ max BCC
MB15E65UV 100 M 2.0 G Sigma-
Delta
16/17 Binary 8 bit
9 to 255
Binary 4 bit
0 to 15
Binary 6 bit
1 to 63 4.9 0.1 2.7 3.0 3.3 18P
MB15E64UV 100 M 3.5 G 16/17 Binary 8 bit
9 to 255
Binary 4 bit
0 to 15
Binary 6 bit
1 to 63 4.9 0.1 2.7 3.0 3.3 18P
Part number
Input
frequency
band (Hz) PLL
Type
Divide ratio Power
supply
current
typ
(mA)
Power
save
current
typ
(μA)
Power
supply
voltage (V)
Package
min max Prescaler Program
counter
Swallow
counter
Reference
counter min typ max BCC
MB15F63UL 100M
50M
2.0G
600M
Sigma
-Delta,
Integer
-N
RF : 16/17,
20/21,
IF : 8/9,16/17
Binary 7bit
5 to 127(RF)
Binary 11bit
3 to 2047(IF)
Binary 4bit
0 to 15(RF)
Binary 7bit
0 to 127(IF)
Binary 6bit
1 to 63(RF)
Binary
14 bit
3 to 16383(IF)
6.1
1.4
0.1
0.1 2.7 3.0 3.3 20P
Part number Application
Frequency
band
(MHz)
Functions
Power
supply
current
typ (mA)
Power
save
current
typ (μA)
Power supply
voltage (V) Package
min typ max LQFP
MB15H121
Tele mete r
telecontroller
security
430
Prescaler divide ratio
8/9
PA, ΣΔPLL, FSK-MOD, LNA, MIXER,
LIMAMP, RSSI, FSK-DEM,
VCO TANK circuit (internal)
6.7 (PLL)
23.0 (TX)
5.0 (RX)
0.3 2.2 2.5 2.848P
10
Mobile, Wireless Communication Products
(Continued)
Application Part number Features
VCO *Single Type
(700 MHz to
2500 MHz)
CDMA, GSM,
PCS, PHSVC-90 seriesCompact type with wide variable frequency band
V10x seriesUltra Compact type with wide variable frequency band
Dual Type
(800 MHz to
2500 MHz)
CDMA, PCS, GSMV08 seriesCompact dual band type with band selection function
V09 seriesCompact dual band type with band selection function
Transmitter
Module *
Single Type
(824MHz to
1780MHz)
CDMA
(CELL band)
(K-PCS band)
T021 seriesBuilt in Duplexer, PowerAmp and Band Pass Filter
Single Type
(824MHz to
1980MHz)
W-CDMA I, V, VIII T031 seriesBuilt in Duplexer, PowerAmp and Band Pass Filter
Size (mm) Part number Correspondence system
SAW
Duplexer *3.0 × 2.5 D5GA series
D5GF seriesCDMA/W-CDMA V
D5GD series
D5GK seriesW-CDMA VIII
D5GC seriesJ-CDMA (27MHz)
D6GQ series
D6GZ seriesUS-PCS/W-CDMA II
2.5 × 2.0 D5JB seriesCDMA/W-CDMA V
(Continued) *: Product of FUJITSU MEDIA DEVICES LIMITED
11
ASSP
Mobile, Wireless Communication Products
VCO
(Product of FUJITSU MEDIA DEVICES LIMITED)
Transmitter Module
(Product of FUJITSU MEDIA DEVICES LIMITED)
SAW Duplexer for Mobile Communication System
(Product of FUJITSU MEDIA DEVICES LIMITED)
Part number Functions Application Frequency (MHz) Power supply
voltage (V)
Package Typ.
(mm)
VC-90 series
Voltege Controlled Oscillator
CDMA, GSM, PCS,
PHS700 to 2500 2.5 to 3.3 5.0 × 4.0 × 1.55
V10x series4.5 × 3.2 × 1.5
V08 seriesCDMA, PCS, GSM800 to 2500 2.85.5 × 4.8 × 1.8
V09 series5.0 × 4.0 × 1.4
Part number Functions Application Frequency (MHz) Power supply
voltage (V)
Package Typ.
(mm)
T021 seriesBuilt in Duplexer, PowerAmp
and Band Pass Filter
CDMA (CELL band) 824 to 849 3.4 8.0 × 5.0 × 1.4
CDMA (K-PCS band) 1750 to 1780
T031 seriesBuilt in Duplexer, PowerAmp
and Band Pass Filter
W-CDMA I1920 to 1980
3.4 7.0 × 4.0 × 1.2W-CDMA V824 to 849
W-CDMA VIII 880 to 915
Correspondence
system Size (mm) Part Number Remarks
CDMA/W-CDMA V
3.0 × 2.5 FAR-D5GA-881M50-D1AA Two types of package are available
3.0 × 2.5 FAR-D5GF-881M50-D1FB Rx: Balanced 100 ohm
2.5 × 2.0 FAR-D5JB-881 M50-D3AA Two types of package are available
W-CDMA VIII 3.0 × 2.5 FAR-D5GK-942M50-D1KF -
3.0 × 2.5 FAR-D5GD-942M50-D1DF Rx: Balanced 100 ohm
J-CDMA (27MHz) 3.0 × 2.5 FAR-D5GC-911M50-D1CA -
US-PCS/W-CDMA II 3.0 × 2.5 FAR-D6GQ-1G9600-D1QBQ Rx: Balanced 100 ohm
3.0 × 2.5 FAR-D6GZ-1G9600-D1ZA Two types of package are available
12
Mobile, Wireless Communication Products
(Continued)
Unbalance/
balance Size (mm) Part number Correspondence system
SAW
Filter *Unbalance 1.4 × 1.0 F5/F6KA series
CDMA/W-CDMA V, GSM850, EGSM,
J-CDMA, DCS, US-PCS/W-CDMA II, GSM1900,
W-CDMA I, GPS, W-LAN
Balance 1.4 × 1.0 F5/F6KB series
CDMA/W-CDMA V, GSM850, EGSM,
J-CDMA, DCS, US-PCS/W-CDMA II, GSM1900,
W-CDMA I, GPS, W-LAN
Dual SAW
Filter *Unbalance 1.8 × 1.4 G5KL series
G5KK seriesJ-CDMA
Balance 2.0 × 1.6 G5/G6KE seriesCDMA + PCS
1.8 × 1.4 G5/G6KG seriesW-CDMA I + V, EGSM + DCS, GSM850, GSM1900
GSM850 + EGSM, DCS + GSM1900
*: Product of FUJITSU MEDIA DEVICES LIMITED
13
ASSP
Mobile, Wireless Communication Products
SAW Filter for Mobile Communication System
(Product of FUJITSU MEDIA DEVICES LIMITED)
SAW Dual Filter for Mobile Communication System
(Product of FUJITSU MEDIA DEVICES LIMITED)
Correspondence
system
Transmission/
Reception Size (mm) Part number Remarks
CDMA/W-CDMA V
Transmission 1.4 × 1.0
FAR-F5KA-836M50-D4DF Unbalanced
FAR-F5KB-836M50-B4ER Balanced 100 ohm output
FAR-F5KB-836M50-B4EG Balanced 200 ohm output
Reception 1.4 × 1.0
FAR-F5KA-881M50-D4DB Unbalanced
FAR-F5KB-881M50-B4ED Balanced 100 ohm output
FAR-F5KB-881M50-B4EJ Balanced 200 ohm output
GSM850 Transmission 1.4 × 1.0 FAR-F5KA-836M50-D4CM Unbalanced
Reception 1.4 × 1.0 FAR-F5KB-881M50-B4EA Balanced 150 ohm output
EGSM
Transmission 1.4 × 1.0 FAR-F5KA-897M50-D4DC Unbalanced
Reception 1.4 × 1.0 FAR-F5KA-942M50-D4DD Unbalanced
FAR-F5KB-942M50-B4EB Balanced 150 ohm output
GPS - 1.4 × 1.0
FAR-F6KA-1G5754-L4AA Unbalanced
FAR-F6KA-1G5754-L4AJ Unbalanced
FAR-F6KA-1G5754-L4AB Ultra low insertion loss, Unbalanced
FAR-F6KB-1G5754-B4GE Balanced 100 ohm output, Low loss
FAR-F6KB-1G5754-B4GU Balanced 100 ohm output, High Attenuation
W-CDMA IX
Transmission 1.4 × 1.0 FAR-F6KA-1G7675-D4CT Unbalanced
FAR-F6KB-1G7675-B4GF Balanced 200 ohm input
Reception 1.4 × 1.0
FAR-F6KA-1G8625-D4DH Unbalanced
FAR-F6KB-1G8625-B4GT Balanced 100 ohm input
FAR-F6KB-1G8625-B4GG Balanced 200 ohm input
DCS
Transmission 1.4 × 1.0 FAR-F6KA-1G7475-D4CY Unbalanced
Reception 1.4 × 1.0 FAR-F6KA-1G8425-D4CK Unbalanced
FAR-F6KB-1G8425-B4GA Balanced 150 ohm output
US-PCS/W-CDMA II
Transmission 1.4 × 1.0 FAR-F6KA-1G8800-L4AF Unbalanced
Reception 1.4 × 1.0 FAR-F6KA-1G9600-D4DQ Unbalanced, high attenuation
FAR-F6KB-1G9600-B4GP Balanced 100 ohm output
GSM1900 Reception 1.4 × 1.0 FAR-F6KA-1G9600-D4CR Unbalanced
FAR-F6KB-1G9600-B4GB Balanced 150 ohm output
W-CDMA I
Transmission 1.4 × 1.0 FAR-F6KA-1G9500-D4DG Unbalanced
FAR-F6KB-1G9500-B4GJ Balanced 100 ohm input
Reception 1.4 × 1.0 FAR-F6KA-2G1400-D4CG Unbalanced
FAR-F6KB-2G1400-B4GC Balanced 100 ohm output
TD-SCDMA - 1.4 × 1.0 FAR-F6KA-2G0175-D4DR Unbalanced
W-LAN - 1.4 × 1.0
FAR-F6KA-2G4418-D4CU Unbalanced
FAR-F6KA-2G4418-A4VA Unbalanced, high power handling
FAR-F6KB-2G4418-B4GL Balanced 100 ohm output
Correspondence
system
Transmission
/Reception Size (mm) Part number Remarks
EGSM + DCSReception 1.8 × 1.4 FAR-G6 KG-1G8425-Y4SABalanced 150 ohm output,
Opposite type of Filter position is available.
EGSM + GSM850 Reception 1.8 × 1.4 FAR-G5KG-942M50-Y4SDBalanced 150 ohm output,
Opposite type of Filter position is available.
GSM850 + GSM1900 Reception 1.8 × 1.4 FAR-G6KG-1G9600-Y4PB Balanced 150 ohm output,
Opposite type of Filter position is available.
GSM1900 + DCSReception 1.8 × 1.4 FAR-G6KG-1G9600-Y4SCBalanced 150 ohm output,
Opposite type of Filter position is available.
CDMA + US-PCSReception 2.0 × 1.6 FAR-G6KE-1G9600-Y4LY Balanced 100 ohm output,
Opposite type of Filter position is available.
J-CDMA Transmission 1.8 × 1.4 FAR-G5KL-911M50-D4XC Unbalanced, 1 input/2 output
Transmission 1.8 × 1.4 FAR-G5KK-911M50-D4KE Unbalanced, 2 input/2 output
W-CDMA I + VTransmission 1.8 × 1.4 FAR-G6KG-1G9500-Y4PG Balanced 200 ohm input
Reception 1.8 × 1.4 FAR-G6KG-2G1400-Y4SHBalanced 200 ohm output
14
Communication Control/Communication Network
Communication
standard Part number Features
Communication
Control ISDN MB86434 Serial interface to microcontroller,
AIU LSI for ISDN digital telephones
IP Packet
Processing
Engine
MB86977 High-speed packet processing engine for
access router or home gateway
High-speed
IP sec
Processing
Engine
MB86978
Inline Ipsec processing, DES/3DES, AES,
HMAC-SHA-1, HMAC-MD5, SA:64, IPv6,
10/100M MAC
Part number Features
Communication
Network LAN LAN controller MB86967
10BASE-T LAN controller with PC card
interface and ISA interface,
General purpose bus interface
15
ASSP
Communication Control/Communication Network
Communication Control
ISDN
Package: P - Plastic
IP Packet Processing Engine
Package: P - Plastic
High Speed IP sec Processing Engine
Package: P - Plastic
Communication Network
LAN
Note: Ethernet is a registered trademark of XEROX Corporation of the USA. Package: P - Plastic
Part number Functions Communication
standard
Power supply
voltage (V)
Package
QFP
MB86434 AIU LSI for ISDN digital telephones,
Internal CODEC, DTMF tones, service tone, and ringer tone -+5 ± 5% 64P
Part number Functions Power supply
voltage (V)
Package
LQFP
MB86977
Enable to process following functions with hardware.
IP Packet Forwarding
Packet Filtering
NAT
PPPoE
and more.
Supports QoS, DMZ, IPv6 and more.
10/100M MAC (Conforms to IEEE802.3)
3.3 ± 0.3
1.8 ± 0.15 208P
Part number Functions Power supply
voltage (V)
Package
FBGA
MB86978Inline Ipsec processing, DES / 3DES,AES,HMAC - SHA-1,HMAC-
MD5,SA:64,IPv6,10 / 100M MAC
3.3 ± 0.3
1.8 ± 0.15
337P
288P
Part number Functions Communication
standard
Power supply
voltage (V)
Package
LQFP
MB86967 10BASE-T Ethernet controller with PC card interface,
ISA bus interface and General purpose bus interface Conforms to IEEE 802.3 +5 ± 5% 100P
16
Display Control Products
Application Part number Features
Display
Control
Products
Screen
display
control
OSDC TV
MB90050
512 character sets, 24 × 32 dot matrix, 35 characters × 16
lines (560 characters) display, 16 colors,
Independently specifiable for each character,
Shaded background, Sprite display,
Video signal generator for the NTSC and PAL system,
Composite video and Y/C video,
5 V power supply voltage
MB90096
512 character sets, 24 × 32 dot matrix, 32 characters × 16
lines (512 characters) display, 16 colors,
Independently specifiable for each character,
Shaded background, Sprite display,
Command table ROM 16KB,
5 V power supply voltage
LCD display
MB90098A
512 character sets, 24 × 32 dot matrix, 32 characters × 16
lines (512 characters) display, 16 colors,
Independently specifiable for each character,
Shaded background, Sprite display,
Command table ROM 16KB, 2 pixel parallel output,
3.3 V power supply voltage
Camcoder /
Digital Still
CameraMB90097
512 character sets, 12 × 18 dot matrix,
28 characters × 12 lines (336 characters) display,
16 colors, Independently specifiable for each character,
Shaded background, Sprite display,
Three output control,
3.3 V power supply voltage
MB90099
1024 character sets, 12 × 18 dot matrix,
28 characters × 12 lines (336 characters) display,
16 colors, Independently specifiable for each character,
Shaded background, Sprite display,
Three output control,
3.3 V power supply voltage
General
purpose
MB90092
16384 character sets (external ROM),
24 × 32 dot matrix, 24 characters × 12 lines (288 characters)
display,
8 colors, Independently specifiable for each character,
Shaded background,
Sub screen display, Video signal generator for the NTSC and
PAL system, Composite video and Y/C video,
5 V power supply voltage
17
ASSP
Display Control Products
Display Control Products
Screen Display Control
OSDC (On-Screen Display Controller)
Package: P - Plastic
Part number Character
generator
Number
of
character
set
Character
dot
structure
Screen
size
RGB
digital
output
Analog
(video)
output
Sync
signal
generation
Power
supply
voltage
(V)
Package
SH-
DIP SOP QFP SSOP FLGA
MB90050 Internal ROM
512
24 × 32
35
characters
× 16 lines
6bit
(16 color
selection in
64 colors)
Composite
Video and
Y/C video
NTSC
PAL
+5
±10% ——48P—
MB90096
Internal ROM
32
characters
× 16 lines
4bit
(16 colors)Unavailable Unavailable
+5
±10% 28P28P———
MB90098A
+3.3
±0.3
—28P———
MB90097
12 × 18
28
characters
× 12 lines
———20P
MB90099 1024 +2.4 to
+3.6 20P 20P
MB90092 External ROM 16384
(Max.) 24 × 32
24
characters
× 12 lines
3bit
(8 colors)
Composite
Video and
Y/C video
NTSC
PAL
+5
±10% ——80P
18
Video/Audio Products
Application Frequency Part number Features
Video/Audio
Products
IF SAW
Filter *Digital40 to 60
MHz
SBF series
SBSF series
F4SE series
K4SH series
Plastic package 13.7 × 5.2 × 2.1 mm
For terrestrial, CATV, Cable modem
Single, Dual, Switchable filter
Small ripple in passband, high attenuation
*: Product of FUJITSU MEDIA DEVICES LIMITED
19
ASSP
Video/Audio Products
Video/Audio Products
IF SAW Filter for Digital (Product of FUJITSU MEDIA DEVICES LIMITED)
Applicable types Center frequency (MHz) 3 dB Bandwidth (MHz) Part number
DAB 38.912 1.50 SBF0402GPL
OOB
44.000 1.70 SBF0402JPL
44.000 1.70 FAR-F4SE-44M000-A011
44.000 2.60 FAR-F4SE-44M000-H0A6
44.000 4.00 FAR-F4SE-44M000-H0A3
CATV/TV
(US/Euro)
36.000 8.10 FAR-F4SE-36M000-A005
36.125 6.10 FAR-F4SE-36M125-A001
36.125 7.00 SBF0407BPL
36.125 8.10 SBF0408KPL
43.750 6.00 FAR-F4SE-43M750-A006
43.750 6.00 FAR-F4SE-43M750-H0AB
44.000 5.35 FAR-F4SE-44M000-H0AG
44.000 5.37 FAR-F4SE-44M000-H0A4
44.000 5.42 FAR-F4SE-44M000-H0A8
44.000 5.49 FAR-F4SE-44M000-H0A1
44.000 5.50 FAR-F4SE-44M000-H0AH
44.000 6.00 FAR-F4SE-44M000-H0A9
44.000 6.12 FAR-F4SE-44M000-H0A2
44.000 6.20 FAR-F4SE-44M000-H0AA
44.000 8.00 SBF0408LPL
47.250 6.20 FAR-F4SE-47M250-H0AC
36.000 6.4/7.4 (Switchable) FAR-K 4SH-36M000-L0E1
36.000 7.0/7.9 (Switchable) SBSF03ABPL
36.125 6.0/7.9 (Switchable) FAR-K 4SH-36M125-F001
36.125 7.0/7.9 (Switchable) SBSF03AAPL
CATV/TV
(Japan)
57.000 5.30 FAR-F4SE-57M000-H0JC
57.000 5.40 FAR-F4SE-57M000-H0J9
57.000 5.62 FAR-F4SE-57M000-H0J6
57.000 5.62 FAR-F4SE-57M000-H0J3
TV tuner
35.230 8.00 FAR-F4SE-35M230-A013
36.125 6.90 FAR-F4SE-36M125-H0E8
36.125 7.60 FAR-F4SE-36M125-H0E5
TV/STB 36.125 7.90 FAR-F4SE-36M125-H0E7
20
Demodulator Products/ISDB-T OFDM/Video Encoder, Decoder
Application Part number Features
Digital
Demodulator Satellite MB86667
Small 48 pin package
High tolerance to spectrum distortion High and stability of reception
Blind Scan support
Cable MB86668Small 48 pin package
High tolerance to spectrum distortion High and stability of reception
ISDB-T OFDM 1 segment
MB86A27S
Supports 1-segment part reception of Japan's terrestrial digital broad-
casting (ISDB-T)
9 mW Target Power
Supports WLP type (Target Size 2.994 mm × 3.336 mm × 0.49 mm)
MB86A27T
Supports 1-segment part reception of Japan's terrestrial digital broad-
casting (ISDB-T)
9 mW Target Power
Supports solder bump type (Target Size 2.9 mm × 2.9 mm × 0.30 mm)
13 segment
MB86A20S
Supports Japan's terrestrial digital broadcasting (ISDB-T)
9 mW Target Power
Supports QFP 64 pin type (Package Size 7 × 7 mm) and WLP type
(Target Size 0.686 mm × 5.36 mm)
MB86A21
Japanese Digital Terrestrial broadcasting standard(ISDB-T) compliant
Demodulator LSI.
Enhanced version of MB86A20S.
Power consumption 80mW, I/Q input support
Video Encoder,
Decoder Codec MB86H55 Full HD H.264 Codec.
Small package, Low power.
MB86H56 Full HD/60p H.264 Codec.
Small package, Low power.
Transcoder MB86H52 Full HD MPEG-2 to H.264 Transcoder.
H.264 Codec is embedded.
MB86H57
MB86H58
Full HD MPEG-2/H.264 bi-directional Transcoder for digital broadcast
application such as PVR, PC.
Low power. Supports small package (MB86H57).
Decoder MB86H60 MPEG-2/H.264 HD multi Decoder for STB of European HD broadcast.
MB86H01
MPEG-2/H.264 SD multi Decoder for STB of Russian, Eastern Euro-
pean, Chinese SD broadcast.
Low power(MB86H01BA). Small package(MB86H01BB).
21
ASSP
Demodulator Products/ISDB-T OFDM/Video Encoder, Decoder
Demodulator Products
Satellite
Cable
Package: P - Plastic
ISDB-T OFDM
1 Segment
13 Segment
Package: P - Plastic
Video Encoder, Decoder
Codec
Transcoder
Decoder
Part number Function Power supply voltage (V) Package
QFP
MB86667 QPSK demodulator
DVB-S and DSS support
1.65 to 1.95
3.0 to 3.6 48P
Part number Function Power supply voltage (V) Package
QFP
MB86668QAM demodulator
DVB-C support
1.65 to 1.95
3.0 to 3.6 48P
Part number Function Power supply voltage (V) Package
Solder Bump WLP
MB86A27S1 segment OFDM demodulator
ISDB-T supports
1.2 (internal, analog)
1.8 to 2.8 (I/O), 2.8 (analog) - 42pin
MB86A27T 1 segment OFDM demodulator
ISDB-T supports
1.2 (internal, analog)
1.8 to 2.8 (I/O), 2.8 (analog) 48pin -
Part number Function Power supply voltage (V) Package
LQFP WLP
MB86A20S13 segment OFDM demodulator
ISDB-T supports
1.2 (internal, analog)
3.3 (I/O), 3.3 (analog) 64P 58P
MB86A21 13 segment OFDM demodulator
ISDB-T supports
1.2 (internal, analog)
3.3 (I/O), 3.3 (analog) 64P -
Part number Function Power consumption
(mW) Memory Package
FBGA
MB86H55 H.264 HP Level4.0 Codec
Various Audio Codec 500
1piece 512Mbit
FCRAM is embedded
650pin
15mm × 15mm
MB86H56
H.264 HP Level4.2 Codec
1920x1080/60 supports
Various Audio Codec
700
Part number Function Power
consumption (W) Memory Package
FBGA PBGA
MB86H52
MPEG-2 to H.264 HD Transcode
H.264 HP Level4.0 Codec
Various Audio Codec
1.7 2 pieces 512Mbit
DDR2-667 -496pin
27mm × 27mm
MB86H57 MPEG-2/H.264 bi-directional Transcode
Audio Transcode
H.264 HP Level4.0 Encode
MPEG-2 MP@ML Encode
Various Audio Codec
MULTI2 decryption is embedded
1.0 1 piece 512Mbit
FCRAM is embbeded
650pin
15mm × 15mm -
MB86H58-496pin
27mm × 27mm
Part number Function Power
consumption (W) Memory Package
FBGA PBGA
MB86H60
ARM1176JZF-S(324MHz)
MPEG-2 MP@HL Decode
H.264 HP Level 4 Decode
Various Audio Decode
DVB descrambler is embedded
1.2
2 pieces 16bit
DDR2-SDRAM
667MHz
(256Mbit to 1Gbit)
-484pin
27mm × 27mm
MB86H01BA ARC Tangent-A4(202.5MHz)
MPEG-2 MP@ML Decode
H.264 MP Level 3 Decode
MPEG-1/2 Layer I/II Audio Decode
DVB descrambler is embbeded
0.53 (with DAC)
0.31 (W/O DAC)
1 piece 16bit
DDR-SDRAM
135MHz
(128Mbit to 512Mbit)
-256pin
27mm × 27mm
MB86H01BB 240pin
10mm × 10mm -
22
Power Management Applications
Power
Management
Applications
Oscillator
frequencies
(kHz)
Error
amplifiers Part number Features
AC/DC
converters300 Operational
amplifier type MB3759 Push-pull, Single-end function switchable,
TL494-equivalent
700 Operational
amplifier type MB3769A MOS FET compatible,
Dynamic over-current detection
Number of
Channels
General
purpose
DC/DC
converters
1200
Operational
amplifier type MB3789A
Adaptable for external CLK synchronization,
Two i nte r n al error amplifiers, Soft-start,
Timer-latch type short circuit protection
500 Operational
amplifier type MB3817 Soft-start,
Timer-latch type short circuit protection
MB3885
N/N synchronous rectification,
Over voltage protection, Soft-start,
Timer-latch type short circuit protection
780Comparator
MB39A130A
Fast response, Bottom detection comparator,
N/N synchronous rectification, Soft-start,
Discharge circuit, Overvoltage protection,
Under voltage protection, Overcurrent protection,
Over temperature protection, POWERGOOD circuit
1000 Fixed gain
type MB3800 Low voltage operation,, Soft-start,
Timer-latch type short circuit protection
Operational
amplifier type MB39A135
Selectable fixed PWM mode or automatic PFM/PWM mode,
N/N synchronous rectification, Current mode type,
Over voltage protection, Over current detection,
Over temperature protection, Soft-start/stop
2500
Fixed gain
type MB3775 Open collector,
Timer-latch type short circuit protection
Operational
amplifier type MB3778Open collector,
Timer-latch type short circuit protection
MB3882
N/N synchronous rectification,
Over voltage protection, Soft-start,
Timer-latch type short circuit protection
MB3889
N/N synchronous rectification, Timer-latch type over voltage
protection , Timer-latch type over current protection,
POWERGOOD circuit,
Symmetrical-Phase method, Soft-start/stop
MB39A106
N/N synchronous rectification, Boot strap diode,
Timer-latch type over voltage protection ,
Timer-latch type over current protection,
POWERGOOD circuit, Soft-start/stop,
Symmetrical-Phase method
MB39A116A
N/N synchronous rectification,
Boot strap diode, Over voltage protection,
Timer-latch type over current protection ,
POWERGOOD circuit, Soft-start/stop,
Symmetrical-Phase method
310/
465
Operational
amplifier type MB39A138
Fast response, Bottom detection comparator,
N/N synchronous rectification, Soft-start,
Discharge circuit, Overvoltage protection,
Under voltage protection, Overcurrent protection,
Over temperature protection, Boot strap diode
1000 Operational
amplifier type MB39A136
Selectable fixed PWM mode or automatic PFM/PWM mode,
N/N synchronous rectification, Current mode type,
Over voltage protection , Over current detection,
Over temperature protection, Soft-start/stop,
Symmetrical-Phase method
1500 Operational
amplifier type MB39A104 Soft-start, Timer-latch type short circuit protection ,
Timer-latch type over current protection
2000 Operational
amplifier type MB39C011A
P/N synchronous rectification (P-ch. asynchronous rectifica-
tion) , Soft-start, Timer-latch type short circuit protection,
Symmetrical-Phase method
(Continued) (Continued)
23
ASSP
Power Management Applications
Power Management Applications
AC/DC Converters
Packages: P - Plastic
General Purpose DC/DC Converters
: New product Packages: P - Plastic
*: Feadback Voltage
Part number Function
Switching
circuit Power
supply
voltage (V)
No. of
channels
Operating
oscillator
frequency
(kHz) (Max.)
Reference voltage Package
Bipolar FET (V) (Typ.) Precision
(%) SOP
MB3759
PWM-type controllers
for AC/DC converters
Ye sNo +7 to +32
1
300
5
5.0 16P
MB3769A YesYe s+12 to +18700 2.0 16P
Part number Function Switching
method
Power
supply
voltage
(V)
No. of
channels
Operating
oscillator
frequency
(kHz)
(Max.)
Reference
voltage Solutions
Package
(V)
(Typ.)
Precision
(%) SOP SSOP TSSOP
MB3789A
PWM-type
controllers for DC/
DC converters
Voltage
mode
+3.0 to
+18
1
200 2.5 4.0 Up conversion 16P
MB3817 +2.5 to
+18500
1.5 2.0
Up conversion
Down conversion
Invert
16P
MB3885+5.5 to
+181.25 1.0 Down conversion 20P
MB3800 +1.8 to
+15 1000 0.5 4.0 Up conversion 8P8P
MB39A130A
Bottom
detection
comparator +4.5 to
+25
780
0.7 *1.0 Down conversion
−−24P
MB39A135
PFM/PWM-type
controllers for DC/
DC converters
Current
mode 1000 −−16P
MB3775
PWM-type
controllers for DC/
DC converters
Voltage
mode
+3.6 to
+18
2
500
1.281.5 Up conversion
Down conversion
Invert
16P 16P
MB37782.46 2.0 16P 16P
MB3882+5.5 to
+18
1.25
1.0 Down conversion
24P
MB3889
1.23
−−30P
MB39A106 +6.5 to
+18
−−30P
MB39A116A 1.00 −−30P
MB39A136
PFM/PWM-type
controllers for DC/
DC converters
Current
mode
+4.5 to
+25 1000 0.7 *−−24P
MB39A138
PWM-type
controllers for DC/
DC converters
Bottom
detection
comparator
+6 to
+24 310/465 0.7/2.0 −−24P
MB39A104 Voltage
mode
+7 to
+19 1500 1.24 24P
MB39C011A +4.5 to
+17 2000 1.0 −−16P
24
Power Management Applications
(Continued) (Continued)
Number of
Channels
Oscillator
frequencies
(kHz)
Error
amplifiers Part number Features
32600
Operational
amplifier type MB39A112 P-ch. asynchronous rectification ,
Each channel control, Soft-start
DC/DC
converters
with switching
FET
12000/
3000
Fixed gain
type MB39C014
PWM type, Current mode, Synchronous rectification,
short circuit protection, Over current detection,
Over temperature protection, POWERGOOD circuit
MB39C006A
PFM and PWM mode, Current mode,
Synchronous rectification,
short circuit protection, Over current detection,
Over temperature protection, POWERGOOD circuit
22000
Fixed gain
type MB39C015
PWM type, Current mode, Synchronous rectification,
short circuit protection, Over current detection,
Over temperature protection,
Voltage detection circuit
MB39C007
PFM and PWM mode, Current mode,
Synchronous rectification,
short circuit protection, Over current detection,
Over temperature protection,
Voltage detection circuit
LDO + DC/DC
converters
with switching
FET
2 *2000 Operational
amplifier type MB39C022G
Current mode, Synchronous rectification ,
short circuit protection, Over current protection,
Over temperature protection, POWERGOOD circuit,
Monitoring of output voltage
*: 1 ch. is LDO, 1 ch. is DC/DC converter.
MB39C022J
Current mode, Synchronous rectification ,
short circuit protection, Over current protection,
Over temperature protection, POWERGOOD circuit,
Monitoring of output voltage
*: 1 ch. is LDO, 1 ch. is DC/DC converter.
MB39C022L
Current mode, Synchronous rectification ,
short circuit protection, Over current protection,
Over temperature protection, POWERGOOD circuit,
Monitoring of output voltage
*: 1 ch. is LDO, 1 ch. is DC/DC converter.
MB39C022N
Current mode, Synchronous rectification ,
short circuit protection, Over current protection,
Over temperature protection, POWERGOOD circuit,
Monitoring of output voltage
*: 1 ch. is LDO, 1 ch. is DC/DC converter.
7 *1700 Fixed gain
type MB39C316
Supports for 1 cell of Lithium Ion Battery,
Current mode, Synchronous rectification ,
Short circuit protection, Over current protection,
Over temperature protection, Under Voltage LockOut
*: 4 ch. is LDO, 3 ch. is DC/DC converter.
(Continued)
25
ASSP
Power Management Applications
General Purpose DC/DC Converters
Packages: P - Plastic
DC/DC converters with switching FET
Packages: P - Plastic
DC/DC converters with switching FET + LDO
: New product Packages: P - Plastic
Power Management IC for Portable Products
Part number Function Switching
method
Power
supply
voltage (V)
No. of
channels
Operating
oscillator
frequency
(kHz)
(Max.)
Reference voltage
Solutions
Package
(V)
(Typ.)
Precision
(%) TSSOP
MB39A112
PWM-type
controllers for DC/DC
converters
Voltage
mode +7 to +25 3 2600 1.0/1.23 1.0 Down conversion 20P
Part number Function
Power
supply
voltage (V)
No. of
channels
Operating
oscillator
frequency
(kHz)
(Max.)
Reference voltageOutput
current
Switching FET
ON resistance
Solutions
Package
(V)
(Typ.)
Precision
(%)
DC/DC
(mA) (Max)
Pch MOS
(Ω) (Typ)
Nch MOS
(Ω) (Typ) QFN SON
MB39C014 PWM type
DC/DC
converters
+2.5 to +5.5
12000/3200
(Fix) 1.20
2.0 800 0.3 0.2 Down
conversion
10P
MB39C015 2 2000
(Fix) 1.30 24P
MB39C006A PFM/PWM
type
DC/DC
converters
12000/3200
(Fix) 1.20 10P
MB39C007 2 2000
(Fix) 1.30 24P
Part number Function
Power
supply
voltage
(V)
No. of
channels
Operating
oscillator
frequency
(kHz)
Output
voltage
(V)
Output
precision
(%)
Output
current
Switching FET
ON resistance PSSR
(dB)
(Typ)
Solutions
Package
DC/DC
(mA)
(Max.)
Pch MOS
(Ω)
(Typ)
Nch MOS
(Ω) (Typ) SON
Common
condition
DC/DC
converter
+2.5 to
+5.5
1ch DC/DC
+ 1ch LDO
2000 0.8 to 4.5
(variable) 2.5 600 0.35 0.25
Down
conversion 10P
MB39C022G
Low noise
LDO
3.3
(Typ)
2.5 300 −−
-70
MB39C022J 2.85
(Typ) -65
MB39C022L 1.8
(Typ) -60
MB39C022N 1.2
(Typ) -55
Part number
No. of
channels
Power
supply
voltage
(V)
Switching
frequency
(kHz)
Output features Package
DCDC LDO Pin name Output
voltage (V) FET Output current
(mA) (Max.) Solutions WL-CSP
MB39C316 3 4 +2.7 to
+5.5 1700
DCDC1 1.2
Integrated
800 Down conversion
49
DCDC2 1.825 600 Down conversion
DCDC3 3.3 650 Up/Down conversion
LDO1 2.875
-
200
-
LDO2 1.225 260
LDO3 1.20/1.30 6.5
LDO4 2.925 84
26
Power Management Applications
(Continued)
Number of
Channels
Oscillator
frequencies
(kHz)
Error
amplifiers Part number Features
DSC/
camcorder
DC/DC
converters
41500
Operational
amplifier type MB39A102
Support for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection
MB39A103
Low voltage operation,
Support for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection.
2000 Operational
amplifier type MB39A110
Synchronous rectification
Support for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection
52000
Operational
amplifier type
MB39A108
Low voltage operation,
Synchronous rectification,
Supports for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection
MB39A115
Synchronous rectification,
Supports for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection
6800 Operational
amplifier type MB3825A High-precision reference voltage,
Synchronous rectification
1000 Operational
amplifier type MB3883Low voltage operation,High-precision reference voltage,
Synchronous rectification
2000 Operational
amplifier type MB39A123
Low voltage operation,Synchronous rectification,
Supports for control and soft-start of each channel,
High-precision reference voltage,
Support for external input short detection
8800 Operational
amplifier type MB3881Low voltage operation, High-precision reference voltage,
Synchronous rectification,
(Continued)
27
ASSP
Power Management Applications
DSC/Camcorder DC/DC Converters
*: 0.4 mm pitch Packages: P - Plastic
**: 0.4 mm pitch, 0.5 mm pitch
Part number Function
Power
supply
voltage (V)
No. of
channels
Operating
oscillator
frequency
(kHz) (Max.)
Reference voltage
Solutions Drive
circuit
Package
(V)
(Typ.)
Precision
(%) LQFP BCC TSSOP
MB39A102
PWM-type
controllers
for DC/DC
converters
+2.5 to +11
4
1500
2.0
1.0
Up conversion
Down conversion
Up/Down
conversion
Pch : 3,
Nch : 1 32P 30P
MB39A103 +1.7 to +11 Pch : 1,
Nch : 3 32P 30P
MB39A110 +2.5 to +11
2000
Pch : 3,
Nch : 1 ——38P
MB39A108
+1.7 to +11 5
Pch : 3,
Nch : 2 40P 38P
MB39A115 Pch : 4,
Nch : 1 40P 38P
MB3825A +2.5 to +12
6
800 1.5 Down conversion PNP : 6 64P ** ——
MB3883 +1.7 to +9 1000 2.5
Up conversion
Down conversion
Up/Down
conversion
Pch : 2,
Nch : 4 48P48P—
MB39A123 +1.7 to +11 2000 2.0
Up conversion
Down conversion
Up/Down
conversion
Invert
Pch : 4,
Nch : 2 48P48P—
MB3881+1.8 to +13 8800 2.5 1.0 Down conversion
Up/Down conversion
Pch : 7,
Nch : 1 64P *——
28
Power Management Applications
(Continued) (Continued)
Number of
cells Part number Features
Charge control 4 cellsMB3876 Applicable to lithium ion battery (4-cell) charging.
Parallel charging , Dynamically-controlled charging.
MB3877 Applicable to lithium ion battery (4-cell) charging.
Dynamically-controlled charging.
3/4 cellsMB3879
Applicable to lithium ion battery (3/4-cell) .
2 mode charging (Dynamically-controlled charging,
differential charging)
MB39A114
Built-in constant current control cicuit in two systems.
Built-in low voltage protection function.
Posssible to prevent mis-detection of the full charge by
the constant voltage control state detection function.
Built-in overvoltage detection function of charge voltage.
Built-in output voltage setting resistor.
Built-in output setting voltage switch function.
Built-in circuit for load-independent soft-start.
MB39A126
Built-in two constant current control circuits
Analog control of the charging current value
Built-in AC adapter detection function
Built-in output voltage setting resistor
Built-in charge stop function at low VCC
Built-in high accuracy current detection amplifier
In standby mode, make output voltage setting resistor open
to prevent inefficient current loss
Totem-pole type output for Pch MOS FET
3 cellsMB3875 Applicable to lithium ion battery (3-cell) charging.
Dynamically-controlled charging.
MB3874 Applicable to lithium ion battery (3-cell) charging.
Parallel charging , Dynamically-controlled charging.
1 to 3 cellsMB3832A
Output voltage and current independently controllable.
Applicable to 1 to 3-cell charging.
Internal high-precision reference supply voltage.
(Continued) (Continued)
29
ASSP
Power Management Applications
Charge control
* : Ta = -10 to +85 °C Package: P-plastic
Part number Function
Power
supply
voltage
(V)
Output
voltage
(V)
Number
of cells
Operating
oscillator
frequency
(kHz)
(Max.)
Solutions
Package
Precision (%)
SSOP LQFP QFN
Ta =
+25 °C
Ta =
-30 to +85 °C
MB3876
Charge
control
DC/DC
converters
+7 to +25 16.8±0.8±1.0 4
500 Down
conversion
24P −−
MB3877 24P −−
MB3879
+8 to +25
12.6/16.8±0.8±1.0
3/4
—48P—
12.3/16.4 ±0.9 ±1.1
MB39A114
12.6/16.8
±0.5 ±0.74 *24P −−
MB39A126 ±0.6 ±0.80 *24P 28P
MB3875
+7 to +25 12.6 ±0.8±1.0 3
24P −−
MB3874 24P −−
MB3832A +3.6 to +18
Any
voltage
level
±0.5 ±1.0*1 to 3 20P −−
30
Power Management Applications
(Continued) (Continued)
Number of
cells Part number Features
1 to 4 cellsMB3878
Output voltage and current are independently controllable.
Applicable to 1 to 4-cell charging.
Internal high-precision reference supply voltage,
Dynamically-controlled charging.
MB3887
Output voltage and current are independently controllable.
Applicable to 1 to 4-cell charging.
Internal high-precision reference supply voltage.
High charging current accuracy.
Dynamically-controlled charging.
MB3888
Output voltage and current are independently controllable.
Applicable to 1 to 4-cell charging.
Internal high-precision reference supply voltage.
High charging current accuracy.
MB39A113
Built-in constant current control cicuit in two systems.
Built-in low voltgae protection function.
Posssible to prevent mis-detection of the full charge by
the constant voltage control state detection function.
Built-in overvoltage detection function of charge voltage.
Built-in circuit for load-independent soft-start.
MB39A119
Built-in off time control function, Built-in voltage detection function of AC adapter,
Possible to prevent mis-detection of the full charge by the constant voltage control state
detection function, Built-in constant current control circuit in two systems,
Possible to control of the constant current by analog value,
Built-in for Nch MOS FET synchronous rectification type output stage,
Built-in charge stop function at low VCC,
Possible to set any output voltage by external resistor,
In IC standby mode, leave output voltage setting resistor open to prevent inefficient current loss
MB39A125
Built-in two constant current control circuits, Analog control of the charging current
value, Built-in AC adapter detection function, External output voltage setting resistor,
Built-in charge stop function at low VCC, Built-in high accuracy current detection
amplifier, In standby mode, make output voltage setting resistor open to prevent
inefficient current loss, Totem-pole type output for Pch MOS FET
2 to 4 cells
MB39A132
Built-in two constant current control loops, Built-in AC adapter detection function
(ACOK terminal), Built-in output voltage control setting without external resistor,
Adjustable output voltage with external resistor, Built-in two high accuracy current
detection amplifiers, Built-in Charging Current Control setting without resistor,
Adjustable charging current with external resistor,
Support for frequency setting using an external resistor,
(Frequency setting capacitor integrated), Built-in under voltage lockout protection, In
standby mode, only AC adapter detection function is operated,
Built-in output stage for N-ch MOS FET synchronous rectification,
Soft start function
MB39A134
Built-in two constant current control loops, Built-in AC adapter detection function
(ACOK terminal), Built-in output voltage control setting without external resistor,
Adjustable output voltage with external resistor, Built-in two high accuracy current
detection amplifiers, Built-in Charging Current Control setting without resistor,
Adjustable charging current with external resistor,
Support for frequency setting using an external resistor,
(Frequency setting capacitor integrated), Built-in under voltage lockout protection, In
standby mode, only AC adapter detection function is operated,
Built-in synchronous rectification type output for N-ch MOS FET,
Soft start function
Number of
channels Topology
DC/DC
converters
for UMPC
6Current
Mode MB39C308
For LPIA Platform VR, N/N Synchronous rectification,
Integrated FET Driver for external MOSFETs(2ch),
Integrated Switching MOSFETs(4ch), Preset Output Voltage,
Soft start function/Soft stop function, Power good function,
Various protection circuitry(SCP/OTP/OVP/OCP/UVLO/IVP)
(Continued)
31
ASSP
Power Management Applications
Charge control
*1 : Ta = -10 °C to +85 °C Package: P-plastic
*2 : Ta = +25 °C to +85 °C
DC/DC converters for Ultra Mobile PC
LPIA=Low Power Intel Architecture®Package: P-plastic
Part number Function
Power
supply
voltage
(V)
Output
voltage (V)
Number
of cells
Operating
oscillator
frequency
(kHz)
(Max.)
Solutions
Package
Precision (%)
SSOP
TSSOP
QFN
Ta = +25 °CTa = -30 to +85 °C
MB3878
Charge
control
DC/DC
converters
+7 to +25
4.2 V/cell
±0.8±1.0
1 to 4
500
Down
conversion
24P −−
MB3887
+8 to +25
+0.6
-0.4
±0.74 *1
24P −−
MB3888 Any voltage
level
±0.5
20P −−
MB39A113
4.2 V/cell
24P −−
MB39A119 1000 −−28P
MB39A125 500 24P 28P
MB39A132
4.0V/Cell,
4.2V/Cell,
4.35V/Cell,
Any voltage
level
±0.5 *2
2 to 4 2000
−−32P
MB39A134
4.2V/Cell,
4.1V/Cell,
Any voltage
level
±0.7 *124P
Part number Function
Input
voltage
(V)
Number
of
channels
Oscillator
frequencies
(kHz)
Output features
Solutions
Package
Pin
name
Preset
output
voltage (V)
FET
Drive or
Output current
(A) (Max)
PFBGA
MB39C308
DC/DC
converters
for LPIA
Platform
VR
+5.5 to
+12.6 6700
(Fix)
CH1 5 External2
Down
conversion 208P
CH2 3.3 4.5
CH3 1.8/1.5
Integrated
2.7
CH4 0.9/0.75 1.5
CH5 1.5 2.5
CH6 1.1/1.05 3.5
32
Power Management Applications
(Continued)
Part number Features
Voltage
detectorsMB3761 Wide operating voltage range,
Easy addition of hysteresis characteristics
Watchdog timer
Supply voltage
monitoring
applications
MB3771
Accurate supply voltage drop detection,
External add-on allows detection of any desired
voltage drop
Single system MB3773 Watchdog timer
Accurate supply voltage drop detection
Double systemsMB3793-27A
Watchdog timer
Accurate supply voltage drop detection
MB3793-28A
MB3793-30A
MB3793-34A
MB3793-37A
MB3793-42
MB3793-45
Power
management
switches
MB3841 Low on-resistance switch
MB3842 Low on-resistance switch
MB3845
LCD Panel 2-ch. DC/DC +
2-ch. charge pump MB39C313
DC/DC converters with P-ch. FET
Soft-start, Sequence control, Short circuit protection,
Over voltage protection, Over current protection,
Over temperature protection
MB39C313A
DC/DC converters with P-ch. FET
Soft-start, Sequence control, Short circuit protection,
Over voltage protection, Over current protection,
Over temperature protection
33
ASSP
Power Management Applications
Voltage Detectors
Package: P - Plastic
Supply Voltage Monitoring Applications
Package: P - Plastic
Switching Applications
Package: P - Plastic
LCD Panel
: New product Package: P - Plastic
*1: 12V input/15V output
*2: With exposed pad
Part number Function Power supply voltage
(V)
Reference voltage
(V) (Typ.)
Package
SOP
MB3761 Voltage detector +2.5 to +40 1.2 8P
Part number Function Power supply
voltage (V) Detection voltage (V)
Reset certified
voltage (V)
(Typ.)
Package
SOP SSOP
MB3771 Supply voltage monitoring applications+3.5 to +18Any voltage level in
addition to 4.2 V
0.8
8P
MB3773 Supply voltage monitoring applications
with watchdog timer +3.5 to +16 8P
MB3793-27A
Supply voltage monitoring applications
with dual watchdog timer systems
+4 (Max.) 2.7±0.07 8P8P
MB3793-28A2.8±0.07 8P
MB3793-30A
+6 (Max.)
3.0±0.07 8P8P
MB3793-34A 3.4±0.088P
MB3793-37A 3.7±0.1 8P
MB3793-42 4.2±0.1 8P
MB3793-45 4.5±0.1 8P
Part number Function Power supply
voltage (V) (Max.)
Number of
channels
On-resistance
(Ω)
Drive current
(A) (Max.)
Package
SOP SSOP
MB3841
Power management switch 5.5
1 0.045 2.0 8P
MB3842 20.1 0.620P
MB3845
Part number Function
Power
supply
voltage
(V)
Number
of
channels
Switching
frequency
kHz(Fix)
Output features Package
Pin
name
Circuit type
or solution
Error
amplifier
threshold
voltage (V)
Precision
(%)
Output
voltage
(V)
FET
Output
current
(A)
TSSOP
MB39C313
2ch. DC/
DC +
2ch.
charge
pump
+8 to
+14 4 500/750
Vlogic Step down
DC/DC 1.213 1.5 1.8 to
3.3 Integrated
1.5
28P *2
VSStep up DC/
DC 1.146 0.9 18.1
(Max) 1.5 *1
VGL Invert charge
pump 0 ± 36mV -
--
50mA
VGH Step up
charge pump 1.213 2.1 50mA
MB39C313A
2ch. DC/
DC +
2ch.
charge
pump
+8 to
+14 4 500/750
Vlogic Step down
DC/DC 1.213 1.5 1.8 to
3.3 Integrated
1.5
28P *2
VSStep up DC/
DC 1.146 0.9 18.1
(Max) 1.5 *1
VGL Invert charge
pump 0 ± 36mV -
--
100mA
VGH Step up
charge pump 1.213 2.1 100mA
34
Motor Drivers/RFID (FerVID familyTM)
Number of
Channels Part number Features
Motor Drivers 1MB3763
Motor drive current (300 mA),
Wide operating voltage range,
TTL drive available
2MB3863
Motor drive current (500 mA),
Wide operating voltage range,
TTL drive available
Frequency band
RFID
(FerVID family) 13.56MHz MB89R118BISO15693 Type
FRAM 2 Kbyte
MB89R119 ISO15693 Type
FRAM 256 byte
35
ASSP
Motor Drivers/RFID (FerVID familyTM)
Motor Drivers
Package: P - Plastic
RFID (FerVID familyTM)
Part number Function Number of Channels Output current
(mA)
Power supply voltage
(V)
Package
SOP
MB3763 Reversible motor drivers1 300 +4 to +188P
MB3863 2 500 +4 to +36 20P
Part number Frequency
band Interface Transmission speed
(Reader/Writer -> LSI)
Transmission speed
(LSI -> Reader/Writer)
FRAM
(byte) Shipment form
MB89R118B
13.56MHz
ISO15693 26.48kbps (52.97kbps) 26.48kbps (52.97kbps) 2K Wafer
(With a golden Bump)
MB89R119 ISO15693 26.48kbps (52.97kbps) 26.48kbps (52.97kbps) 256 Wafer
(With a golden Bump)
36
General-Purpose Converter
General-Purpose
Converter
Resolution
(bits)
Conversion
time
Linear accuracy
(%)
Number of
Channels Part number Features
A/D
converter 10 50μs±1LSB24MB88111 8-channel port input capability,
Internal serial expansion interface
12 16μs/ch – 4.0 to
+2.0LSB4MB88101A Ultra-miniature, Ultra-low current con-
sumption, Serial data output
Bits Settling
time
Non-linearity
(LSB)
Number of
Channels
D/A
converter
for digital
tuning
applications
820μs±1.5 12 MB88346B
Serial data input, R-2R type,
Cascade connection capability
Internal operational amplifier
300μs±1.5 12 MB88346L
Functionally compatible with
MB88346B,
Low-voltage operating capability
100μs±1.5 8MB88347
Serial data input, R-2R type,
Cascade connection capability,
Internal operational amplifier
200μs±1.5 8MB88347L Functionally compatible with MB88347,
Low-voltage operating capability
100μs±1.5 24 MB88345
Serial data input, R-2R type,
Cascade connection capability,
Internal operational amplifier
100μs±1.5 12 MB88141
MB88141A
Compatible with I2C bus,
R-2R type,
Internal operational amplifier
MB88146A R-2R type, I/O expander,
internal operational amplifier
37
ASSP
General-Purpose Converter
General-Purpose Converter
A/D Converter
Packages: P - Plastic
D/A Converter for Digital Tuning Applications
Package: P - Plastic
Part number Function Conversion
method
Conversion
time
(μs/ch)
(Max.)
Linearity
error (%)
(Max.)
Power
supply
voltage (V)
Package
DIP SOP SSOP QFP SH-DIP
MB88111 24-ch 10-bit A/D
converter Successive
approximation
50 ±1 LSB +3.5 to +5.5 −−−44P 48P
MB88101A 4-ch 12-bit A/D
converter
16
(at 5 V±10%)
-4.0 to +2.0
LSB+3.3 to +5.5 16P 16P 16P −−
Part number Function
Settling
time
(μs)
(Max.)
Power
consumption
(mW) (Typ.)
Non-
linearity
error
(LSB)
Power supply
voltage
(V)
Package
DIP SOP SSOP QFP
MB88346B 12-ch 8-bit D/A converter
(internal operational amplifier) 20 14
±1.5
+5±10% 20P 20P 20P
MB88346L
12-ch 8-bit D/A converter
(internal operational amplifier,
low voltage operation)
300 5 +2.7 to +3.6 20P 20P 20P
MB88347 8-ch 8-bit D/A converter
(internal operational amplifier) 100 9 +5±10% 16P 16P 16P
MB88347L
8-ch 8-bit D/A converter
(internal operational amplifier,
low voltage operation)
200 4.2 +2.7 to +3.6 16P 16P 16P
MB88345 24-ch 8-bit D/A converter
(internal operational amplifier) 100 27
+5±10%
−−−32P
MB88141 12-ch 8-bit D/A converter
(compatible with I2C bus,
internal operational amplifier) 100
15 24P 24P 24P
MB88141A
MB88146A
12-ch 8-bit D/A converter
(I/O expander, internal
operational amplifier)
14.5 Digital:+2.7 to +5.5
Analog:+5±10% 24P 24P
38
SD/SDHC card
SD/SDHC card SD/SDHC-ATA
bridge LSIMSC1007
The parallel AT -> SD/SDHC card bridge chip driver
software is unnecessary.
SD memory card physical specification Ver.2.0 support
39
ASSP
SD/SDHC card
Package: P - Plastic
Part number Function Power supply voltage
(V)
Package
FBGA
MSC1007
SDHC memory card support
PIO 0-4 and ultra DMA mode 3
ATA -6 specification conforming
Hardware protocol conversion of SD-IDE
Boot from the SD/SDHC card
+3.0 to +3.6 100P
SD/SDHC card
40
Spread Spectrum Clock Generator
Efficiency of multiply Part number Features
Spread
Spectrum Clock
Generator
× 1/2, × 1,
× 2, × 4, × 8
MB88151A
Input frequency : 16.6 to 33.4 MHz *
Modulation type : center/down (selection) *
Modulation sensitivity:
-1.0%, -3.0%, ±0.5%, ±1.5% *
(down/center)
Power supply voltage : 3.3 V ± 0.3 V
Power down function / modulation enable function **
With multiply circuit, SOP-8 pin
*: The Input frequency wide range, the modulation type and
the modulation sensitivity are different to the option.
× 1
MB88152A
Input frequency : 16.6 to 134 MHz *
Modulation type : center/down *
Modulation sensitivity:
-1.0%, -3.0%, ±0.5%, ±1.5%, no modulation *
(down/center)
Power supply voltage : 3.3 V ± 0.3 V
Input frequency wide range version, SOP-8 pin
*: The Input frequency wide range, the modulation type and
the modulation sensitivity are different to the option.
MB88153A
Input frequency : 16.6 to 134 MHz
Modulation type : center/down *
Modulation sensitivity:
-1.0%, -3.0%, ±0.5%, ±1.5%, no modulation *
(down/center)
Power supply voltage : 3.3 V ± 0.3 V
Power down function, external clock input only, SOP-8 pin
*: The modulation type and the modulation sensitivity are dif-
ferent to the option.
MB88154A
Input frequency : 16.6 to 80 MHz *
Modulation type : center/down *
Modulation sensitivity:
-1.0%, -2.0%, -3.0%, ±0.5%, ±1.0%, ±1.5%,
no modulation * (down/center)
Power supply voltage : 3.3 V ± 0.3 V
REF output, SOP-8 pin
*: The Input frequency wide range, the modulation type and
the modulation sensitivity are different to the option.
Programmable
(FRAM) MB88R157A *
Input frequency : 10 to 50 MHz
Modulation type : center
Modulation sensitivity:
±0.25%, ±0.5%, ±0.75%, ±1.0%, ±1.25%, ±1.5%,
±1.75%, no modulation (center)
Power supply voltage : 3.3 V ± 0.3 V
*: The Output frequency wide range and the modulation sensi-
tivity can be arbitrarily set by the program.
(Continued)
41
ASSP
Spread Spectrum Clock Generator
: Under development Package: P - Plastic
(Continued)
Part number Function
Power
supply
voltage
Input
frequency
(MHz)
Efficiency of
multiply
Output
frequency
(MHz)
Modulation
Type
Modulation
sensitivity Other
Package
SOP
MB88151A-100
EMI noise
reduction
PLL
(SSCG)
3.3
± 0.3
16.6
to
33.4
× 1 16.6
to
33.4
Down or
center
(selection)
-1.0%, -3.0% (down)
±0.5%, ±1.5%
(center)
no modulation
(no modulation
setting is no PD
product)
PD function
disable
8P
MB88151A-101 PD function
enable
MB88151A-200
× 2 33.2
to
66.8
PD function
disable
MB88151A-201 PD function
enable
MB88151A-400
× 4 66.4
to
133.6
PD function
disable
MB88151A-401 PD function
enable
MB88151A-500
× 1/2 8.3
to
16.7
PD function
disable
MB88151A-501 PD function
enable
MB88151A-800
8.3
to
16.7 × 866.4
to
133.6
PD function
disable
MB88151A-801 PD function
enable
MB88152A-100 16.6
to
40
33 to 67
40
to
80
66 to 134
× 1
16.6
to
40
33 to 67
40
to
80
66 to 134
Down -1.0%, -3.0%
-
MB88152A-110 Center ±0.5%, ±1.5%
MB88152A-101 16.6
to
40
33 to 67
16.6
to
40
33 to 67
Down -1.0%, -3.0%
no modulation
MB88152A-111 Center ±0.5%, ±1.5%
no modulation
MB88152A-102 40
to
80
66
to
134
40
to
80
66
to
134
Down -1.0%, -3.0%
no modulation
MB88152A-112 Center ±0.5%, ±1.5%
no modulation
MB88153A-100
16.6
to
40
66 to 134
33
to
67
40 to 80
16.6
to
40
66 to 134
33
to
67
40 to 80
Down
-1.0%, no modulation
PD function
enable
MB88153A-101 -3.0%, no modulation
MB88153A-110
Center
±0.5%, no modulation
MB88153A-111 ±1.5%, no modulation
MB88154A-101 50
to
8050
to
80
Down -1.0%, -2.0%, -3.0%,
no modulation
REF output
enable
MB88154A-102 33
to
67 33
to
67
MB88154A-103 16.6
to
40 16.6
to
40
MB88154A-111 50
to
8050
to
80
Center
±0.5%, ±1.0%,
±1.5%, no modulation
MB88154A-112 33
to
67 33
to
67
MB88154A-113 16.6
to
40 16.6
to
40
MB88R157A 10
to
50 Programmable 1
to
134
±0.25%, ±0.5%,
±0.75%, ±1.0%,
±1.25%, ±1.5%,
±1.75%,
no modulation
PD function
enable,
Programmable
product
Spread Spectrum Clock Generator
42
Spread Spectrum Clock Generator
(Continued)
Efficiency of multiply Part number Features
× 1, × 4
MB88155
Input frequency : 12.5 to 50 MHz ( × 1) *
12.5 to 20 MHz ( × 4)
Modulation type : center/down *
Modulation sensitivity:
-1.0%, -2.0%, ±0.5%, ±1.0%, no modulation *
(down/center)
Power supply voltage : 3.3 V ± 0.3 V
Power down function / modulation enable function
TSSOP-8 pin
*: The Input frequency wide range, the modulation type and
the modulation sensitivity are different to the option.
× 1, × 2,
(selection)
MB88161
Input frequency : 20 to 28 MHz
Modulation type : center/down (selection)
Modulation sensitivity:
-1.0%, -2.0%, -4.0%, ±0.5%, ±1.0%, ±2.0%,
no modulation (down/center)
Power supply voltage : 3.3 V ± 0.3 V
Power down function, BCC-18 pin
× 1, × 4,
(selection)
MB88162
Input frequency : 12 to 28 MHz
Modulation type : center/down (selection)
Modulation sensitivity:
-1.0%, -2.0%, -4.0%, ±0.5%, ±1.0%, ±2.0%,
no modulation (down/center)
Power supply voltage : 3.3 V ± 0.3 V
Power down function, BCC-18 pin
× 1
MB88163
Input frequency : 12.5 to 26 MHz
Modulation type : center
Modulation sensitivity:
±0.5%, no modulation (center)
Power supply voltage : 1.8 V ± 0.15 V
BCC-6 pin
× 1/2 or more
(MASK option)
MB88181 *
Input frequency : 16 to 32 MHz
Modulation type : center
Modulation sensitivity:
±0.5%, ±1.0%, ±1.5%, ±2.0%, no modulation
(center)
Power supply voltage : 3.3 V ± 0.3 V
Clock output 8(Max.), power down function, TSSOP-20 pin
*: The efficiency of multiply and the output mode can be arbi-
trarily set by the mask option.
Programmable
(Setting of register)
MB88182
Input frequency : 10 to 30 MHz
Modulation type : center
Modulation sensitivity:
±0.25%, ±0.5%, ±0.75%, ±1.0%, ±1.25%, ±1.5%,
±1.75%, no modulation (center)
Power supply voltage : 1.8 V ± 0.15 V, 2.6 V ± 0.1 V
BCC-20 pin
43
ASSP
(Continued)
*: The efficiency of multiply and the output mode can be arbitrarily set by the mask option. Package: P - Plastic
Part number Function
Power
supply
voltage
(V)
Input
frequency
(MHz)
Efficiency
of multiply
Output
frequency
(MHz)
Modulation
Type
Modulation
sensitivity Other
Package
TSSOP BCC
MB88155-100
EMI noise
reduction
PLL
(SSCG)
3.3
± 0.3
12.5
to
25
× 1
12.5
to
25
Down
-1.0%, -2.0%
no modulation
PD function
disable
8P -
MB88155-101 25
to
50 25
to
50
MB88155-102 12.5
to
25 12.5
to
25
-1.0%, -2.0% PD function
enable
MB88155-103 25
to
50 25
to
50
MB88155-110 12.5
to
25 12.5
to
25
Center
±0.5%, ±1.0%
no modulation
PD function
disable
MB88155-111 25
to
50 25
to
50
MB88155-112 12.5
to
25 12.5
to
25
±0.5%, ±1.0% PD function
enable
MB88155-113 25
to
50 25
to
50
MB88155-400
12.5
to
20 × 450
to
80
Down
-1.0%, -2.0%
no modulation
PD function
disable
MB88155-402 -1.0%, -2.0% PD function
enable
MB88155-410
Center
±0.5%, ±1.0%
no modulation
PD function
disable
MB88155-412 ±0.5%, ±1.0% PD function
enable
MB88161
12
to
28
( × 1)
20
to
42
( × 4)
× 1, × 4 ,
(selection)
12
to
28 ( × 1)
80
to
168
( × 4)
Down/
Center
(selection)
-1.0%, -2.0%,
-4.0%, ±0.5%,
±1.0%, ±2.0%,
no modulation
PD function
enable - 18P
MB88162
12
to
28
( × 1)
20
to
42
( × 4)
× 1, × 4 ,
(selectable)
12
to
28 ( × 1)
80
to
168
( × 4)
Down/
Center
(selectable)
-1.0%, -2.0%,
-4.0%, ±0.5%,
±1.0%, ±2.0%,
no modulation
PD function
enable -18P
MB88163 1.8
± 0.15 12.5 to
26
×
1
12.5 to
26
Center
±0.5%,
no modulation --6P
MB881813.3
± 0.3 16 to
32
× 1/2 or
more
*
8 to
166
±0.5%, ±1.0%,
±1.5%, ±2.0%,
no modulation
PD function
enable,
Clock output
8(Max.)
20P -
MB88182
1.8 V
± 0.15 V,
3.3 V
± 0.3 V
10 to
30
Programmable 8 to
100
±0.25%, ±0.5%,
±0.75%, ±1.0%,
±1.25%, ±1.5%,
±1.75%,
no modulation
Programmable
product - 20P
Spread Spectrum Clock Generator
44
Spread Spectrum Clock Generator
SSCG Simple Evaluation Board
*: Hardware or software for writing is required.
Part number Remarks
MB88151A
MB88151AEB01-100 MB88151A-100 mounted
An oscillator, oscillation stable capacity,
and a power supply line are required.
MB88151AEB01-101 MB88151A-101 mounted
MB88151AEB01-200 MB88151A-200 mounted
MB88151AEB01-201 MB88151A-201 mounted
MB88151AEB01-400 MB88151A-400 mounted
MB88151AEB01-401 MB88151A-401 mounted
MB88151AEB01-500 MB88151A-500 mounted
MB88151AEB01-501 MB88151A-501 mounted
MB88151AEB01-800 MB88151A-800 mounted
MB88151AEB01-801 MB88151A-801 mounted
MB88152A
MB88152AEB01-100 MB88152A-100 mounted
MB88152AEB01-110 MB88152A-110 mounted
MB88152AEB01-101 MB88152A-101 mounted
MB88152AEB01-111 MB88152A-111 mounted
MB88152AEB01-102 MB88152A-102 mounted
MB88152AEB01-112 MB88152A-112 mounted
MB88153A
MB88153AEB01-100 MB88153A-100 mounted
MB88153AEB01-101 MB88153A-101 mounted
MB88153AEB01-110 MB88153A-110 mounted
MB88153AEB01-111 MB88153A-111 mounted
MB88154A
MB88154AEB01-101 MB88154A-101 mounted
MB88154AEB01-102 MB88154A-102 mounted
MB88154AEB01-103 MB88154A-103 mounted
MB88154AEB01-111 MB88154A-111 mounted
MB88154AEB01-112 MB88154A-112 mounted
MB88154AEB01-113 MB88154A-113 mounted
MB88155
MB88155EB01-100 MB88155-100 mounted
MB88155EB01-101 MB88155-101 mounted
MB88155EB01-102 MB88155-102 mounted
MB88155EB01-103 MB88155-103 mounted
MB88155EB01-110 MB88155-110 mounted
MB88155EB01-111 MB88155-111 mounted
MB88155EB01-112 MB88155-112 mounted
MB88155EB01-113 MB88155-113 mounted
MB88155EB01-400 MB88155-400 mounted
MB88155EB01-402 MB88155-402 mounted
MB88155EB01-410 MB88155-410 mounted
MB88155EB01-412 MB88155-412 mounted
MB88161 MB88161EB01 MB88161 mounted
MB88162 MB88162EB01 MB88162 mounted
MB88163 MB88163EB01 MB88163 mounted
MB88R157 MB88R157EB01 MB88R157 mounted *
MB88182
MB88182EB01-1A MB881821A mounted
MB88182EB01-2A MB881822A mounted
MB88182EB01-1B MB881821B mounted
MB88182EB01-2B MB881822B mounted
45
Memory
Memory Product Line-up
Memory Product Line-up
Page No.
Memory RAM Volatile ASM *Mobile FCRAM
(Fast Cycle RAM)
for Mobile Phones/PDAs
32M-bit Async. /Sync. SRAM type
FCRAM 46
128M-bit Async. /Sync. SRAM
type FCRAM 46
Consumer
FCRAM
(Fast Cycle RAM)
for Consumer Products/
Embedded Systems
16M-bit SDR-SDRAM type
FCRAM 48
256M-bit SDR-SDRAM type
FCRAM 48
256M-bit DDR-SDRAM type
FCRAM 48
512M-bit DDR-SDRAM type
FCRAM 48
Non-Volatile FRAM FRAM (Single 3 V) 50
* : ASM =Application Specific Memory
FCRAM is a trademark of Fujitsu Microelectronics Limited.
SPA N SIONTM Products
ROM Non-Volatile &
Rewritable
Electrically
erasable Flash Memory Flash Memory (Single 1.8 V) 52
Flash Memory (Single 3 V) 54
Flash Memory (
MirrorBit
)
(Single 3 V) 56
Flash Memory (
MirrorBit
)
(Single 1.8 V) 58/
SPI Flash Memory (
MirrorBit
)
(Single 3 V) 60
MirrorBit is a trademark of Spansion Inc.
46
FCRAMTM (Fast Cycle RAM) (1)
Type/Application Density(Interface) Organization Part Number
FCRAM
(Fast Cycle RAM)
Mobile
FCRAM
for Mobile phones/ PDAs
32M bits
(Async. /Sync. SRAM type) 2M × 16 MB82DP02183F
MB82DBS02163F
128M bits
(Async. /Sync. SRAM type) 8M × 16 MB82DBS08164D
(Continued)
FCRAM is a trademark of Fujitsu Microelectronics Limited.
47
Memory
FCRAMTM (Fast Cycle RAM) (1)
Mobile FCRAM (Fast Cycle RAM)
32M-bit Async. /Sync. SRAM Type FCRAM
*1, *2, *3
*1: Compliant with COSMORAM spec
*2: MB82DP02183F : with Page mode
MB82DBS02163F : with SDR Burst mode & Page mode
*3: Shipping form: Wafer, 71-pin FBGA package
*4: At asynchronous operation
*5: At RL = 5, 6
128M-bit Async. /Sync. SRAM Type FCRAM *1, *2
*1: Compliant with COSMORAM spec, with SDR Burst mode
*2: Shipping form: Wafer
Package support for mass production is T.B.D.
*3: At asynchronous operation
*4: At RL = 6
*5: TA+40 °C
Organization
(W × b) Part Number
Initial
Access
Time
Max.
(ns) *4
Page Mode
Access
Time
Max. (ns)
Burst Mode
Frequency
Max. (MHz)
Burst Clock
Access
Time
Max. (ns)
Supply Current Max.
Supply
Voltage (V)
Operating
(mA)
Standby
(μA)
Power
Down
(μA)
2M × 16 MB82DP02183F-65L 65 20 N/A N/A 30 120 10 2.6 to 3.1
MB82DBS02163F-70L 70 20 838 *530 120 10 1.7 to 1.95
Organization
(W × b) Part Number
Initial
Access
Time
Max. (ns) *3
Page Mode
Access
Time
Max. (ns)
Burst Mode
Frequency
Max. (MHz)
Burst Clock
Access
Time
Max. (ns)
Supply Current Max.
Supply
Voltage (V)
Operating
(mA)
Standby
(μA)
Power
Down
(μA)
8M × 16 MB82DBS08164D-70L 70 N/A 77 6 *435 200 *510 1.7 to 1.95
48
FCRAMTM (Fast Cycle RAM) (2)
(Continued)
Type/Application Density (Interface) Organization Part Number
Consumer
FCRAM
for Consumer
Products/
Embedded
Systems
16M bits
(SDR-SDRAM type) 2 × 512K × 16 MB81ES171625
MB81ES171625-X
2 × 256K × 32 MB81ES173225
MB81ES173225-X
256M bits
(SDR-SDRAM type) 4 × 2M × 32 MB81ES253245
4 × 1M × 64 MB81ES256445
256M bits
(DDR-SDRAM type) 4 × 2M × 32 MB81EDS253245
4 × 1M × 64 MB81EDS256445
MB81EDS256545
512M bits
(DDR-SDRAM type) 4 × 2M × 64 MB81EDS516445
MB81EDS516545
FCRAM is a trademark of Fujitsu Microelectronics Limited.
49
Memory
FCRAMTM (Fast Cycle RAM) (2)
Consumer FCRAM (Fast Cycle RAM)
16M-bit SDR-SDRAM Type FCRAM
*1, *2
*1: Single Data Rate SDRAM Interface
*2: Shipping form: Wafer
*3: Extended operating temperature
*4: Access Time = tAC
*5: Operating current is IDD1 (1 bank active) and Standby current is IDD2P (Power
down mode)
256M-bit SDR-SDRAM Type FCRAM
*1, *2, *3
*1: Single Data Rate SDRAM Interface
*2: Operating temp.: Tj = -10 to +125 °C
*3: Shipping form: Wafer
*4: Access Time = tAC
256M-bit DDR-SDRAM Type FCRAM
*1, *2, *3
*1: Double Data Rate SDRAM Interface
*2: Operating temp.: Tj = -10 to +125 °C
*3: Shipping form: Wafer
*4: Access Time = tAC
*5: Operating current is IDD4R (at burst read)
*6: with special function capability
512M-bit DDR-SDRAM Type FCRAM
*1, *2, *3
*1: Double Data Rate SDRAM Interface
*2: Operating temp.: Tj = -10 to +125 °C
*3: Shipping form: Wafer
*4: Access Time = tAC
*5: Operating current is IDD4R (at burst read)
*6: with special function capability
Organization
(Bank × W × b) Part Number
Clock
Frequency
Max. (MHz)
Clock Period
Min. (ns)
Access Time
Max. (ns) *4
Supply Current Max. *5
Supply
Voltage (V)
Operating
(mA)
Standby
(mA)
2 × 512K × 16
MB81ES171625-12 85 11.7 10.2 30 1 1.65 to 1.95
MB81ES171625-15 66.7 15 12 30 1 1.65 to 1.95
MB81ES171625-15-X *366.7 15 12 30 1 1.65 to 1.95
2 × 256K × 32
MB81ES173225-12 85 11.7 10.2 30 1 1.65 to 1.95
MB81ES173225-15 66.7 15 12 30 1 1.65 to 1.95
MB81ES173225-15-X *366.7 15 12 30 1 1.65 to 1.95
Organization
(Bank × W × b) Part Number
Clock
Frequency
Max. (MHz)
Clock Period
Min. (ns)
Access Time
Max. (ns) *4
Supply Current Max. Supply Voltage
(V)
Operating
(mA)
Standby
(mA)
4 × 2M × 32 MB81ES253245 166 6 6 75 5 1.7 to 1.95
4 × 1M × 64 MB81ES256445 166 6 6 75 5 1.7 to 1.95
Organization
(Bank × W × b) Part Number
Clock
Frequency
Max. (MHz)
Clock Period
Min. (ns)
Access Time
Max. (ns) *4
Supply Current Max. Supply Voltage
(V)
Operating
(mA) *5
Standby
(mA)
4 × 2M × 32 MB81EDS253245 216 4.6 6 235 5 1.7 to 1.95
4 × 1M × 64 MB81EDS256445 216 4.6 6 300 5 1.7 to 1.95
MB81EDS256545 *6216 4.6 6 300 5 1.7 to 1.95
Organization
(Bank × W × b) Part Number
Clock
Frequency
Max. (MHz)
Clock Period
Min. (ns)
Access Time
Max. (ns) *4
Supply Current Max. Supply Voltage
(V)
Operating
(mA) *5
Standby
(mA)
4 × 2M × 64 MB81EDS516445 216 4.6 6 300 9 1.7 to 1.9
MB81EDS516545 *6216 4.6 6 300 9 1.7 to 1.9
50
FRAM (Ferroelectric RAM)
Interface Supply
VoltageCapacity Organization Part Number Access Time
FRAM Parallel
Interface Single 3V 256K-bit 32K × 8MB85R256H 70 ns
1M-bit 128K × 8MB85R1001 100 ns
64K × 16 MB85R1002 100 ns
Clock Speed
Serial
Interface Single 3V 256K-bit 32K × 8MB85RS256 15 MHz
51
Memory
FRAM (Ferroelectric RAM)
FRAM
Pakage : P Plastic
Interface Organization
(W × b) Part Number
Access
Time
Max.
(ns)
Cycle
Time
Min.
(ns)
Clock
Speed
Max.
(MHz)
VCC Current Supply
Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Operating
(mA)
Standby
(μA) SOP TSOP FBGA
Parallel 32K × 8MB85R256H 70 150 5 5 2.7 to 3.6 -40 to +8528P28P-
Parallel 128K × 8MB85R1001 100 150 10 10 3.0 to 3.6 -40 to +85-48P-
Parallel 64K × 16 MB85R1002 100 150 10 10 3.0 to 3.6 -40 to +85-48P48P
Serial 32K × 8MB85RS256 15 5 3 3.0 to 3.6 -20 to +858P- -
52
Flash Memory (Single 1.8V)
SPA N SIONTM Products
Supply
VoltageCapacity Organization Part Number
Variation
Access
Time Remarks
Flash Memory Single 1.8V8 M bit 1 M × 8
512K × 16 S29AS008JPD70 ns-
16 M bit 2 M × 8
1 M × 16 S29AS016J PD 70 ns-
53
Memory
Flash Memory (Single 1.8V)
SPA N SIONTM Products
Flash memory (Single 1.8V)
Organization
(W × b) Part Number
Access
Time
Max.
(ns)
Cycle
Time
Min. (ns)
VCC Current
Supply Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Read
(mA)
Standby
Mode
(μA)
TSOP FBGA
1 M × 8
512 K × 16 S29AS008J70 70 70 16
(f = 5 MHz) 5 1.65 to 1.95 -40 to +854848
2 M × 8
1 M × 16 S29AS016J70 70 70 16
(f = 5 MHz) 5 1.65 to 1.95 -40 to +854848
54
Flash Memory (Single 3V)
SPA N SIONTM Products
Supply
VoltageCapacity Organization Part Number
Variation
Access
Time Remarks
Flash Memory Single 3V 8M-bit 1M × 8
512K × 16 S29AL008J PD 55 to 70 ns*1
16M-bit 2M × 8
1M × 16 S29AL016J PD 55 to 70 ns*2
32M-bit 4M × 8
2M × 16 S29AL032D PD 70 to 90 ns*3
S29JL032H PD
SRW 70 to 90 ns*4
S29PL032J
PD
PM
SRW
55 to 70 ns*5
64M-bit 8M × 8
4M × 16 S29JL064H PD
SRW 70 to 90 ns*6
S29PL064J
PD
PM
SRW
55 to 70 ns*7
128M-bit 8M × 16 S29PL127J
PD
PM
SRW
55 to 70 ns*8
Variation
PD: Automatic sleep mode
PM: Page mode
SRW: Simultaneous Read / Write operation (Read-while-program or Read-
while-Erase)
MirrorBit is a trademark of Spansion Inc.
*1 : (16Kbytes × 1sectors) + (8Kbytes × 2sectors) + (32Kbytes × 1sector) + (64Kbytes × 15sectors)
*2 : (16Kbytes × 1sectors) + (8Kbytes × 2sectors + (32Kbytes × 1sector) + (64Kbytes × 31sectors)
*3 : (16Kbytes × 1sectors) + (8Kbytes × 2sectors + (32Kbytes × 1sector) + (64Kbytes × 61sectors)
*4 : (8Kbytes × 8sectors) + (64Kbytes × 63sectors)
*5 : (2KWord × 16sectors) + (16KWord × 31sectors)
*6 : (8Kbytes × 16sectors) + (64Kbytes × 126sectors)
*7 : (2KWord × 16sectors) + (16KWord × 63sectors)
*8 : (2KWord × 16sectors) + (16KWord × 127sectors)
55
Memory
Flash Memory (Single 3V)
SPA N SIONTM Products
Flash memory (Single 3V)
Organization
(W × b) Part Number
Access
Time
Max.
(ns)
Cycle
Time
Min. (ns)
VCC Current
Supply Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Read
(mA)
Standby
Mode
(μA)
TSOP FBGA
1M × 8
512K × 16
S29AL008J55 55 55 12
(f = 5 MHz) 5
3.0 to 3.6 -40 to +85
-40 to +125 4848
S29AL008J70 70 70 2.7 to 3.6
2M × 8
1M × 16
S29AL016J55 55 55 12
(f = 5 MHz) 5
3.0 to 3.6 -40 to +85
-40 to +125 4848
64
S29AL016J70 70 70 2.7 to 3.6
4M × 8
2M × 16
S29AL032D70 70 70 16
(f = 5 MHz) 5 2.7 to 3.6 -40 to +85 40, 4848
S29AL032D90 90 90
S29JL032H70 70 70 16
(f = 5 MHz) 5 2.7 to 3.6 -40 to +8548
S29JL032H90 90 90
S29PL032J55 55 55
30
(f = 5 MHz) 5 2.7 to 3.6 -45 to +8548
56
S29PL032J60 60 60
S29PL032J65 65 65
S29PL032J70 70 70
8M × 8
4M × 16
S29JL064H70 70 70 16
(f = 5 MHz) 5 2.7 to 3.6 -40 to +8548
S29JL064H90 90 90
S29PL064J55 55 55
30
(f = 5 MHz) 5 2.7 to 3.6 -45 to +8548
56
S29PL064J60 60 60
S29PL064J65 65 65
S29PL064J70 70 70
8M × 16
S29PL127J55 55 55
30
(f = 5 MHz) 5
2.7 to 3.6
-45 to +855650
S29PL127J60 60 60
S29PL127J65 65 65 2.7 to 3.6
1.65 to 1.95
S29PL127J70 70 70
56
Flash Memory (MirrorBitTM) (Single 3 V)
SPA N SIONTM Products
Supply
VoltageCapacity Organization Part Number
Variation
Access
Time Remarks
Flash Memory
MirrorBitTM Single 3V 32M-bit 4M × 8
2M × 16 S29GL032N
PD
PM
WB
90 ns*1
64M-bit 8M × 8
4M × 16 S29GL064N
PD
PM
WB
90 ns*2
128M-bit 16M × 8
8M × 16 S29GL128P
PD
PM
WB
90 to 110 ns*3
256M-bit 32M × 8
16M × 16 S29GL256P
PD
PM
WB
90 to 110 ns*4
512M-bit 64M × 8
32M × 16 S29GL512P
PD
PM
WB
100 to 120 ns*5
32M × 16 S29GL512R
PD
PM
WB
100 ns*5
1G-bit 128M × 8
64M × 16 S29GL01GP
PD
PM
WB
110 to 130 ns*6
64M × 16 S29GL01GR
PD
PM
WB
110 ns*6
Variation
PD : Automatic sleep mode
PM: Page mode
WB: Write buffer
MirrorBit is a trademark of Spansion Inc.
*1: Uniform sector model : 32Kword (64Kbytes) × 64sectors
Boot sector model : 32Kword (64Kbytes) × 63sectors + 4Kword (8Kbytes) × 8sectors
*2: Uniform sector model : 32Kword (64Kbytes) × 128sectors
Boot sector model : 32Kword (64Kbytes) × 127sectors + 4Kword (8Kbytes) × 8sectors
*3: Sector structure - 64Kword (128Kbytes) × 128sectors
*4: Sector structure - 64Kword (128Kbytes) × 256sectors
*5: Sector structure - 64Kword (128Kbytes) × 512sectors
*6: Sector structure - 64Kword (128Kbytes) × 1024sectors
S29512GR and S29GL01GR: Please contact to sales
representatives on the detail schedule.
57
Memory
Flash Memory (MirrorBitTM) (Single 3 V)
SPA N SIONTM Products
Flash memory (MirrorBit ) (Single 3V)
Access Time : ( ) page access
Organization
(W × b) Part Number
Access
Time *
Max. (ns)
Cycle
Time
Min. (ns)
VCC Current
Supply Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Read
(mA)
Standby
Mode
(
μA
)
TSOP FBGA
4M × 8
2M × 16 S29GL032N90 90 (25) 90 30
(f = 5 MHz) 5 2.7 to 3.6 -40 to +8548
56
48
64
8M × 8
4M × 16 S29GL064N90 90 (25) 90 30
(f = 5 MHz) 5 2.7 to 3.6 -40 to +8548
56
48
64
16M × 8
8M × 16
S29GL128P90 90 (25) 90
55
(f = 5 MHz) 5
3.0 to 3.6 0 to +85
-40 to +85
56 64
S29GL128P10 100 (25) 100
2.7 to 3.6 -40 to +85
S29GL128P11 110 (25) 110
32M × 8
16M × 16
S29GL256P90 90 (25) 90
55
(f = 5 MHz) 5
3.0 to 3.6 0 to +85
-40 to +85
56 64
S29GL256P10 100 (25) 100
2.7 to 3.6 -40 to +85
S29GL256P11 110 (25) 110
64M × 8
32M × 16
S29GL512P10 100 (25) 100
55
(f = 5 MHz) 5
3.0 to 3.6 0 to +85
-40 to +85
56 64
S29GL512P11 110 (25) 110
2.7 to 3.6 -40 to +85
S29GL512P12 120 (25) 120
32M × 16 S29GL512R10 100 (25) 100 45
(f = 5 MHz) 100 3.0 to 3.6 0 to +85
-40 to +8556 64
128M × 8
64M × 16
S29GL01GP11 110 (25) 110
55
(f = 5 MHz) 5
3.0 to 3.6 0 to +85
-40 to +85
56 64
S29GL01GP12 120 (25) 120
2.7 to 3.6 -40 to +85
S29GL01GP13 130 (25) 130
64M × 16 S29GL01GR11 110 (25) 110 45
(f = 5 MHz) 100 3.0 to 3.6 0 to +85
-40 to +8556 64
58
Flash Memory (MirrorBitTM) (Single 1.8V)
SPA N SIONTM Products
Supply
VoltageCapacity Organization Part Number Variation Access
Time Remarks
Flash Memory
MirrorBitTM Single 1.8V 128 M-bit 8 M × 16
S29WS128P
PD
BM
SRW
HM
7.6 (80 MHz) to
13.5 (54 MHz) ns*1
256 M-bit 16 M × 16
S29WS256P
PD
BM
SRW
HM
7.6 (80 MHz) to
13.5 (54 MHz) ns*2
512 M-bit 32 M × 16
S29WS512P
PD
BM
SRW
HM
7.6 (80 MHz) to
13.5 (54 MHz) ns*3
Variation
PD: Automatic sleep mode
BM: Burst mode
SRW: Simultaneous Raad/Write operation
(Read-while-program or Read-while-Erase)
HM: Hand Shake Mode
*1 : 16 Kword × 8sectors + 64 Kword × 126sectors
*2 : 16 Kword × 8sectors + 64 Kword × 254sectors
*3 : 16 Kword × 8sectors + 64 Kword × 510sectors
MirrorBit is a trademark of Spansion Inc.
59
Memory
Flash Memory (MirrorBitTM) (Single 1.8V)
SPA N SIONTM Products
Flash memory (MirrorBit ) (Single 1.8V)
*1: Asynchronous access time
*2: Synchronous delay time/burst access time
*3: At burst read Continuous mode (Max.)
Organization
(W × b) Part Number
Access
Time
Max. (ns)
Burst
Speed
(MHz)
VCC Current
Supply Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Read
(mA)
Standby
Mode
(μA)
FBGA
8 M × 16
S29WS128P0LBxW 80 *1
80/13.5 *254 39 *3
70 1.70 to 1.95 -25 to +8584
S29WS128P0PBxW 80 *1
80/11.2 *266 43 *3
S29WS128P0SBxW 80 *1
80/9.0 *28048 *3
S29WS128PABBxW 80 *1
80/7.6 *210854 *3
16 M × 16
S29WS256P0LBxW 80 *1
80/13.5 *254 39 *3
70 1.70 to 1.95 -25 to +8584
S29WS256P0PBxW 80 *1
80/11.2 *266 43 *3
S29WS256P0SBxW 80 *1
80/9.0 *28048 *3
S29WS256PABBxW 80 *1
80/7.6 *210854 *3
32 M × 16
S29WS512P0LBxW 80 *1
80/13.5 *254 36 *3
70 1.70 to 1.95 -25 to +8584
S29WS512P0PBxW 80 *1
80/11.2 *266 43 *3
S29WS512P0SBxW 80 *1
80/9.0 *28048 *3
S29WS512PABBxW 80 *1
80/7.6 *210854 *3
60
Serial Peripheral Interface (MirrorBitTM) (Single 3V)
SPA N SIONTM Products
Supply
VoltageCapacity Organization Part Number Variation Access
Time Remarks
Flash Memory
MirrorBitTM Single 3V 32 M-bit 32 M × 1
16 M × 2
8 M × 4
S25FL032P SI104 MHz
80 MHz *1
64M-bit 32 M × 1
16 M × 2
8 M × 4
S25FL064P SI104 MHz
80 MHz *1
128M-bit 128 M × 1 S25FL128PSI 104 MHz *2
32 M × 1
16 M × 2
8 M × 4
S25FL129P SI104 MHz
80 MHz *3
Variation
SI: Serial interface
*1 : Uniform 64KB sectors (Top or bottom boot sector : 32 × 4 K bytes parameter block)
*2 : 256 KB × 64 sectors or 64 KB × 256 sectors
*3 : Uniform 64KB sectors (Top or bottom boot sector : 32 × 4K bytes parameter block) or Uniform 256KB × 64 Sector
MirrorBit is a trademark of Spansion Inc.
61
Memory
Serial Peripheral Interface (MirrorBitTM) (Single 3V)
SPA N SIONTM Products
Flash memory (MirrorBit) (Single 3V)
Organization
(W × b) Part Number
Clock
speed
(MHz)
VCC Current Supply
Voltage
(V)
Operating
Temperature
Range
TA (°C)
Packages
Read
(mA)
Standby Mode
(μA) SOIC SON
32 M × 1
S25FL032P
104 25
(f = 104MHz) 200 2.7 to 3.6 -40 to +85
SOIC8
SOIC16
USON
WSON
16 M × 2
8 M × 4 8038
(f = 80MHz) 200 2.7 to 3.6 -40 to +85
64 M × 1
S25FL064P
104 25
(f = 104MHz) 200 2.7 to 3.6 -40 to +85
SOIC16 WSON
32 M × 2
16 M × 4 8038
(f = 80MHz) 200 2.7 to 3.6 -40 to +85
128 M × 1 S25FL128P104
22
(f = 104MHz) 200 2.7 to 3.6 -40 to +85SOIC16 WSON
128 M × 1
S25FL129P
104 25
(f = 104MHz) 200 2.7 to 3.6 -40 to +85SOIC16 WSON
64 M × 2
32 M × 4 8038
(f = 80MHz) 200 2.7 to 3.6 -40 to +85SOIC16 WSON
62
Products Scheduled to be out of Production
The productions listed below are scheduled to go out of production.
If you are considering the use in the new applications, select the other series of products
FCRAM
Flash Memory
Parallel Flash Memory (3.0V)
Serial Flash Memory (MirrorBit) (3.0V)
Part number Description
MB82D01181E-60L 16 Mbit Async. SRAM Type FCRAM
MB82DS01181E-70L
MB82DP02183C-65L 32 Mbit Async. SRAM Type FCRAM
MB82DP02183E-65L
MB82DBS02163C-70L 32 Mbit Async./Sync. SRAM Type FCRAM
MB82DBS02163E-70L
MB82DP04183C-65L
64 Mbit Async. SRAM Type FCRAMMB82DP04183D-65L
MB82DP04184E-65L
MB82DBS04163C-70L
64 Mbit Async./Sync. SRAM Type FCRAMMB82DBS04163D-70L
MB82DBS04164E-70L
MB82DBS08164C-70L 128 Mbit Async./Sync. SRAM Type FCRAM
MB82DBS04314C-70L
Part number Description
S29AL004D 4Mbit, Access Time(ns): 70/90, Vcc: 2.7-3.6V
Part number Description
S25FL040A 4Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V
S25FL008A8Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V
S25FL016A 16Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V
S25FL032A 32Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V
S25FL064A 64Mbit, Clock Speed(MHz): 50, Vcc: 2.7-3.6V
63
ASIC
ASIC Product Line-up
ASIC Products
Page No.
ASIC
Products Standard cell CMOSCS302 serieswith on-chip RAM, ROM, ADC/DAC 64
CS201 serieswith on-chip RAM, ROM, ADC/DAC 64
CS101 seriesMore than 91,000,000 (on-chip) gates
with on-chip RAM, ROM, ADC/DAC 65
CS91 seriesMore than 48,000,000 (on-chip) gates
with on-chip RAM, ROM, Multipliers, ADC/DAC 66
CS86 seriesMore than 40,000,000 (on-chip) gates
with on-chip RAM, ROM, FIFO, Delay Line, ADC/DAC 67
CS81 seriesMore than 40,000,000 (on-chip) gates, 11 ps/gate
with on-chip RAM, ROM, Multipliers, ADC/DAC 68
CS66 seriesMore than 1,700,000 (on-chip) gates, 98 ps/gate
with on-chip RAM, ROM, Multipliers, ADC/DAC 69
Macro-embedded
type cell arraysCMOSCE81 seriesMaximum of 34,000,000 (on chip) gates, 12 ps/gate
with on-chip RAM, ROM, Multipliers, ADC/DAC 71
CE77 seriesMaximum of 10,000,000 (on chip) gates, 33 ps/gate
with on-chip RAM, ROM, FIFO, Delay Line 72
CE71 seriesMaximum of 8,096,000 (on chip) gates, 29 ps/gate
with on-chip RAM, ROM, Multipliers, ADC/DAC 74
CE66 seriesMaximum of 1,138,000 (on-chip) gates, 98 ps/gate
with on-chip RAM, ROM, Multipliers, ADC/DAC. 76
CE61 seriesMaximum of 2,025,000 (on chip) gates, 85 ps/gate
with on-chip RAM/ROM, Multipliers, ADC/DAC 78
Gate arraysSea-of-Gate
CMOSCG61 series
Maximum of 1,568,000 (on chip) gates, 85 ps/gate
with on-chip RAM,
Analog PLL embedment is possible in
some frames
80
CG47 seriesMaximum of 55,000 (on chip) gates, 300 ps/gate
with on-chip RAM, FIFO 82
CG46 seriesMaximum of 198,000 (on chip) gates, 300 ps/gate
with on-chip RAM, FIFO 83
64
Standard Cell
CS302 Series
Features
Technology : 40 nm Si-gate CMOS
: Maximum 11-metal layers. Extreme Low-K (ultra low permittivity) material is used for
dielectric inter-layers.
: Three different types of core transistors (low leak, standard and high speed) can be
used on the same chip.
Supply voltage :+1.1V ± 0.1V
Junction temperature range :-40 °C to +125 °C
Support various cell sets (from low power versions to high speed versions)
It supports energy-saving mode, multi mode SRAM.
Compiled cells (RAM, ROM, others)
Support low-consumption technology
Support ultra high speed (up to 10 Gbps) interface macros
Special interfaces (LVDS, SSTL, others)
Supports boundary SCAN
Supports use of industry standard libraries
Supports use of industry standard tools.
Short-term development using a physical prototyping tool.
One pass design using a physical synthesis tools.
Hierarchical design environment for supporting large-scale circuits.
Supports Signal Integrity, EMI noise reduction
Supports static timing sign-off
Improve timing convergence by using Statistical Static Timing Analysis (SSTA ) .
Design For Manufacturing (DFM) enables stable product-supply and reduced variation
Package lineup : FBGA, PBGA, TEBGA, FC-BGA
Note : Some items are in preparation.
CS201 Series
Features
Technology : 65 nm Si-gate CMOS
6 to 12 layers of metal wiring. Ultra Low-K (low permittivity) material is used for
dielectric inter-layers.
Three different types of core transistors (low leak, standard and high speed) can be used
on the same chip.
Supply voltage : +0.9V to +1.3V (A wide range is supported.)
Junction temperature range : -40 °C to +125 °C
Reduced chip sized realized by I/O with pad.
Supports a wide range of cell sets (from low power versions to high speed versions)
IP macros: CPU (ARM11, ARM9, ARM7TDMI), DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others
Compiled cells (RAM/ROM and others)
It supports energy-saving mode, multi mode SRAM.
It supports energy-saving technology "CoolAdjust"*
Supports large capacity memory (1T-SRAM-Q)
High-speed interface macro (up to 10 Gbps)
Special interfaces (LVDS, SSTL and others)
Supports use of industry standard libraries (. LIB)
Uses industry standard tools and supports the optimum tools for the application.
High reliability design estimation in the early stage of physical design realized by physical prototyping tool.
Layout synthesis with optimized timing realized by physical synthesis tools.
Hierarchical design environment for supporting large-scale circuits.
High accuracy design environment considering dynamic drop in power supply voltages, signal noise, delay penalty, and
crosstalk.
I/O design environment (power line design, assignment and selection of I/Os, package selection) considering noise.
Supports static timing sign-off
Improved timing settling by introducing Statistical Timing Analysis (SSTA) .
Steady product supply and countermeasure for diffusion by introduction of DFM
Supports memory (RAM/ROM) BIST
Supports boundary SCAN
Supports LOGIC BIST
Supports transition delay test
Package lineup : TEBGA, FBGA, PBGA, FC-BGA
*: "CoolAdjust" is a generic name of Fujitsu Microelectronics's energy-saving technology
Note: Some items are in preparation.
65
ASIC
Standard Cell
CS101 Series
Features
Optimum gate count : Maximum of 91,000,000 gates
Technology : 90 nm Si-gate CMOS
6 to 10 layers of metal wiring. Low-K (low permittivity) material is used for all
dielectric inter-layers.
Three different types of core transistors (low leak, standard, and high speed) can be used
on the same chip.
Supply voltage : +0.9V to +1.3V (A wide range is supported.)
Junction temperature range : -40 °C to +125 °C
Gate delay time : tpd = 12 ps (1.2 V, Inverter, F/O = 1)
Gate power consumption : Pd = 2.7 nW/MHz/BC (1.2 V, Inverter, F/O = 1)
Reduced chip sized realized by I/O with pad.
Supports a wide range of cell sets (from low power versions to high speed versions)
Compliance with industry standard design rules enables non-Fujitsu commercial macros to be easily incorporated.
IP macros: CPU (ARM9, ARM7TDMI) , DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others
Compiled cells (RAM/ROM and others)
High-speed interface macro (up to 10 Gbps)
Special interfaces (LVDS, SSTL_2 and others)
Supports use of industry standard libraries (. LIB)
Uses industry standard tools and supports the optimum tools for the application.
High reliability design estimation in the early stage of physical design realized by physical prototyping tool.
Layout synthesis with optimized timing realized by physical synthesis tools.
Hierarchical design environment for supporting large-scale circuits.
High accuracy design environment considering drop in power supply voltages, signal noise, delay penalty, and
crosstalk.
I/O design environment (power line design, assignment and selection of I/Os, package selection) considering noise.
Supports static timing sign-off
Supports memory (RAM/ROM) BIST
Supports boundary SCAN
Supports LOGIC BIST
Supports transition delay test
Package lineup : TEBGA, FBGA, PBGA, FC-BGA
Note: Some items are in preparation.
66
Standard Cell
CS91 Series
Features
Optimum gate count : Maximum of 48,000,000 gates
Technology : 0.11 μm Si-gate CMOS, 5- to 8-layer wiring (Copper is used as wire material) ,
Low-k Inter-layer material
(Inter-layer material that has low permittivity)
Supports 8 types of cell sets that differ in speed, integration, and power consumption.
These cell sets can be mixed on a chip.
Supply voltage : +1.2 V ± 0.1 V
Junction temperature range : -40 to +125 °C
Gate delay time : tpd = 16 ps (1.2 V, Inverter, F/O = 1)
Gate power consumption : Pd = 6.6 nW/MHz (1.2 V, Inverter, F/O = 1)
High-speed interface macro (up to 10 Gbps)
Special interfaces: P-CML, LVDS, PCI, USB, SSTL, HSTL, T-LVTTL, and others
Buffer cells for crystal oscillation circuits.
IP macros: CPU (ARM9, ARM7TDMI) , DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others
Compiled cells (RAM/ROM/multiplier and others)
Uses industry standard tools and supports the optimum tools for the application.
Short-term development using a physical prototyping tool.
Hierarchical design environment for supporting large-scale circuits.
Supports Signal Integrity, EMI noise reduction
Supports High resolution RC extraction base delay calculation environment
Supports optimization environment of power supply wire
Supports static timing sign-off
Supports memory (RAM/ROM) BIST
Supports boundary SCAN
Supports LOGIC BIST
Supports transition delay test
Package lineup : FC-BGA (Max. 2116 pin), EBGA, HQFP, FBGA and others
Note: Some items are in preparation.
67
ASIC
Standard Cell
CS86 Series
Features
Optimum gate count : Maximum of 40,000,000 gates
Technology : 0.18 μm Si-gate CMOS, 5- to 6-layer wiring
Supports three types of internal cell sets (ultra high-speed, standard, low-leak)
Capable of integrating a mixture of standard transistor cell and ultra high-speed process/
cell, and mixture of standard transistor cell and low leak process/cell on a single chip
Supply voltage : +1.8 V ± 0.15V to +1.1V ± 0.1V
Gate delay time : tpd = 88 ps (standard : 1.8 V, 2NAND, F/O = 2, standard load)
tpd = 70 ps (ultra high-speed : 1.8 V, 2NAND, F/O = 2, standard load)
tpd = 136 ps (low-leak : 1.8 V, 2NAND, F/O = 2, standard load)
Leakage Current : 0.023 nW (standard : 1.8 V, 2NAND, F/O = 0, no load)
3.922 nW (ultra high-speed : 1.8 V, 2NAND, F/O = 0, no load)
0.0067 nW (low-leak : 1.8 V, 2NAND, F/O = 0, no load)
Gate power consumption : 40.1 nW/MHz (standard : 1.8 V, 2NAND, F/O = 1, 4Grid)
42.7 nW/MHz (ultra high-speed : 1.8 V, 2NAND, F/O = 1, 4Grid)
38.3 nW/MHz (low-leak : 1.8 V, 2NAND, F/O = 1, 4Grid)
Junction temperature range : -40 to +125 °C
Output buffer cells with noise reduction circuits
Inputs with on-chip input pull-up/pull-down resistors and bidirectional buffer cells.
Buffer cells for crystal oscillation circuits.
Special interfaces:SSTL2, PCI, P-CML, T-LVTTL, USB2.0, IEEE1394, and others
IP macros: CPU (FR-V, ARM9,and others), DSP, PCI, IEEE1394, USB2.0, IrDA, PLL, ADC, DAC,
and others
Compiled cells (RAM/ROM/FIFO/Delay line, and others)
Configurable internal bus circuits
Advanced for hardware/software co-design environment
Short-term development using a physical synthesis tool
Low-power dissipation using a low power synthesis tool
Short-term development using a timing driven layout tool
Hierarchical design environment for supporting large-scale circuits
Supports signal Integrity
Supports memory (RAM, ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
Supports path delay test
Supports transition delay test
Package lineup : QFP, LQFP, HQFP, FBGA
Packages
The table below lists the available package types.
Note: Contact Fujitsu Microelectronics for the availability.
Type Pin Count Material
QFP 208, 240 Plastics
LQFP 144, 176, 208, 256 Plastics
HQFP 208, 240, 256, 304 Plastics
FBGA 112, 144, 176, 192, 224, 272, 288, 240, 304, 368Plastics
68
Standard Cell
CS81 Series
Features
Optimum gate count : Maximum of 40,000,000 gates
Technology : 0.18 μm Si-gate CMOS, 4- to 6-layer wiring
Capable of integrating a mixture of high-speed processes and cells on a single chip
Supply voltage : +1.8 V ± 0.15V to +1.1V ± 0.1V
Gate delay time : tpd = 11 ps (1.8 V, Inverter, F/O = 1)
Gate power consumption : 5nW/MHz/BC (1.1V, 2NAND, F/O = 1)
Junction temperature range : -40 to +125 °C
High-speed interface macro (up to 3.125 Gbps)
Output buffer cells with noise reduction circuits
Inputs with on-chip input pull-up/pull-down resistors (33 kΩ typical) and bidirectional buffer cells.
Buffer cells for crystal oscillation circuits.
Special interfaces: P-CML, LVDS, PCI, AGP, USB, SDRAM-I/F, SSTL, and others
IP macros: CPU, DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others
Compiled cells (RAM/ROM/multiplier, and others)
Configurable internal bus circuits
Advanced for hardware/software co-design environment
Short-term development using a timing driven layout tool
Supports static timing sign-off
Dramatically reducing the time for generating test vectors for timing verification and the simulation time
Hierarchical design environment for supporting large-scale circuits
Simulation (before layout) considering the input through rate and high resolution RC extraction base delay calculation
(after layout), supporting development with minimized timing trouble after trial manufacture.
Supports signal Integrity, EMI noise reduction
Supports memory (RAM, ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
Supports At-Speed test on internal circuits
Supports path delay test
Supports transition delay test
Package lineup : HQFP, LQFP, FBGA, FC-BGA
Packages
The table below lists the available package types.
Note: Contact Fujitsu Microelectronics for the availability.
Type Pin Count Material
HQFP 208, 240, 256, 304 Plastics
LQFP 144, 176, 208Plastics
FBGA 112, 133, 176, 192, 224, 240, 272, 288, 304, 368Plastics
FC-BGA 1089, 1225, 1369, 1681, 1849, 2116 Plastics, Ceramic
69
ASIC
Standard Cell
CS66 Series
Features
Optimum gate count : Maximum of 1,700,000 gates
Technology : 0.35 μm Si-gate, 3- to 4-layer metal wiring
Supply voltage : +3.3 V ± 0.3 V to +2.0 V ± 0.1 V
+5.0 V ± 10% (only for external interface; when internal requirements is 3.3 V)
+3.3 V ± 10% (only for external interface; when internal requirements is 3.3 to 2.0 V)
Gate delay time : tpd = 91 ps (high-speed type, F/O = 2, standard load)
Gate power consumption : 0.29 μW/MHz (F/O = 2, standard load)
Junction temperature range : -40 to +125°C
High-load driving capability : IOL = 2 mA/4mA/8mA/12mA/24mA mixable.
Output buffer cells with noise reduction circuits
On-chip input pull-up/pull-down resistors (50 kΩ typical)
Buffer cells for crystal oscillation circuits.
Configurable internal bus circuits
Highly integrated RAM/ROM/multipliers mountable; arbitrary words/bits configurable.
Clock skew layout design method (Cadence "CT-Gen") based on the floor plan information minimizes post-layout
circuit modification, reducing turnaround time for development.
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supporting development with minimized timing trouble after trial manufacture.
Special interface (T-LVTTL and SDRAM-I/F, and others)
Analog PLL
Analog circuits (ADC, DAC, OPAMP and others)
Macros for system ASICs (CPU core, CPU peripheral, operation macro, and others)
Supports DFF scan test with MUX
Supports memory (RAM/ROM) scan
Supports memory (RAM) BIST
Supports boundary SCAN
70
Standard Cell
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
CS66 (P-frame)
CS66 (S-frame)
Package and pin
count
LQFP
100
144
176
208
QFP
120
144
160
176
208
240
256
HQFP
208
240
256
304
PBGA 256
352
FBGA
112
144
168
176
192
224
288
Package and pin
count
LQFP
100
144
208
QFP
120
144
160
176
208
240
HQFP
208
240
256
PBGA 256
352
FBGA
112
144
168
176
192
224
288
4000K0 6000K 8000K 10000K 12000K 14000K 16000K2000K
1305K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
1579K
639K
639K 835K
1579K
1579K
1579K
1305K
200K0 300K 400K 500K 600K 700K 800K100K 900K
158K
158K
433K
158K
158K
228K
358K
545K
228K
358K
545K
545K
545K
807K
192K
228K433K
289K
433K
807K
228K
71
ASIC
Macro-Embedded Type Cell Arrays
CE81 Series
Features
High Integration : Maximum of 34,000,000 BCs
Technology : 0.18 μm Si-gate CMOS, 4- to 6*1 -layer wiring
Supply voltage : +1.8 V ± 0.15 V to +1.1 V ± 0.1 V
Gate delay time : tpd = 12 ps (1.8V, Inverter, F/O = 1)
Gate power consumption : 8nW/MHz/BC (1.1V, 2NAND, F/O = 1)
Junction temperature range : -40 to +125 °C
Output buffer cells with noise reduction circuits
Inputs with on-chip input pull-up/pull-down resistors (33 kΩ typical) and bidirectional buffer cells.
Buffer cells for crystal oscillation circuits.
Special interfaces: P-CML, LVDS, PCI, AGP, USB, SDRAM-I/F, SSTL, and others
IP macros: CPU, DSP, PCI, IEEE1394, USB, IrDA, PLL, ADC, DAC, and others
Compiled cells (RAM/ROM/multipliers, and others)
Configurable internal bus circuits
Advanced for hardware/software co-design environment
Short-term development using a timing driven layout tool
Supports static timing sign-off
Dramatically reducing the time for generating test vectors for timing verification and the simulation time.
Hierarchical design environment for supporting large-scale circuits
Supports optimization environment of power supply wire
Simulation (before layout) considering of the input through rate and high resolution RC extraction base delay calculation
(after layout), supporting development with minimized timing trouble after trial manufacture.
Supports Signal Integrity
Supports memory (RAM, ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
Supports At-Speed test on internal circuits
Supports path delay test
Supports transition delay test
Package lineup : HQFP, FBGA, LQFP
Note: Some items are in preparation.
*1: The 6-layer of the CE81 is dedicated for power supply (care required).
Number of gates used in package
The table below lists the available package types and the reference number of gates used.
Package
and
pin count
HQFP
208
240
256
304
304
LQFP
144
176
208
FBGA
112
176
192
240
288
368
4000K0 6000K 8000K 10000K 12000K 14000K 16000K2000K
2085K
15158K
4712K
3764K
1098K
722K
722K
1098K
514K
722K
1098K
2697K
2697K
4712K
72
Macro-Embedded Type Cell Arrays
CE77 Series
Features
High integration : Maximum of 10,000,000 BCs
Technology : 0.25 μm Si-gate CMOS, 3- to 4-layer wiring
Supply voltage : +2.5 V ± 0.2 V to +1.5 V ± 0.1 V
Junction temperature range : -40 to +125°C
Gate delay time : tpd = 33 ps (2.5 V, Inverter, F/O = 1, No load)
Gate power consumption : 0.02 μW/MHz (1.5 V, Inverter, F/O = 1, No load)
High-load driving capability : IOL = 2mA/4mA/8mA/12mA mixable.
Output buffer cells with noise reduction circuits
Inputs with on-chip input pull-up/pull-down resistors (25 kΩ typical) and bidirectional buffer cells.
Buffer cells for crystal oscillation circuits.
Special interfaces (P-CML, LVDS, T-LVTTL, SSTL, PCI, USB, GTL+, and others)
IP macros (CPU, PCI, USB, IrDA, PLL, DAC, ADC, and others)
Compiled cells (RAM/ROM/FIFO/Delay Line, and others)
Configurable internal bus circuits
Advanced for hardware/software co-design environment
Short-term development using a timing driven layout tool
Hierarchical design environment for supporting large-scale circuits
Supports static timing sign-off
Dramatically reducing the time for generating test vectors for timing verification and the simulation time.
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supporting development with minimized timing trouble after trial manufacture.
Supports memory (RAM, ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
Supports path delay test
Package lineup : SQFP, LQFP, HQFP, FBGA, PBGA
73
ASIC
Macro-Embedded Type Cell Arrays
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
CE77 (V-Frame)
P: Plastic
CE77 (T-Frame)
P: Plastic
Package and
pin count Material
SQFP
176
208
240
P
P
P
HQFP
208
240
256
304
P
P
P
P
PBGA 256 P
Package and
pin count Material
LQFP
144
176
208
256
P
P
P
P
HQFP
208
240
256
304
P
P
P
P
FBGA
144
176
224
288
P
P
P
P
PBGA
256
352
420
P
P
P
2000K0 3000K 4000K 5000K 6000K 7000K 8000K1000K 9000K
274K
803K
965K
1776K
2276K
1776K 7128K
618K
976 K
1375 K
744 K
1841 K
1375 K
2109 K
1609 K
4538 K
461 K
1375 K
646 K
2109 K
1841 K
3789 K
2678 K
74
Macro-Embedded Type Cell Arrays
CE71 Series
Features
High integration : Maximum of 8,000,000 BCs
Technology : 0.25 μm Si-gate CMOS, 3- to 4-layer metal wiring
Supply voltage : +2.5 V ± 0.2 V to +1.5 V ± 0.1 V
(5 V TTL interface is available if 5 V tolerant I/O is adopted. Some frames are under
development.)
Gate delay time : tpd = 29 ps (2.5 V, Inverter, F/O = 1, No load)
Gate power consumption : 0.060 μW/MHz (F/O = 1, No load)
Junction temperature range : -40 to +125°C
High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA mixable.
Output buffer cells with noise reduction circuits
Inputs with on-chip input pull-up/pull-down resistors (25 kΩ typical) and bidirectional buffer cells.
Buffer cells for crystal oscillation circuits.
Special interfaces (P-CML, LVDS, SDRAM-I/F, SSTL, and others)
IP macros (SPARClite, FR40, F2MC16LX, PCI, IEEE1394, USB, IrDA, PLL, ADC/DAC, and others)
Compiled cells (RAM/ROM/multipliers, and others)
Configurable internal bus circuits
Advanced for hardware/software co-design environment
Linking floor plan tools and logic synthesis tools allows automatic optimization of the circuits using the floor plan
information. The Clock Driven Design Method (CDDM) clock tree synthesis tools using the floor plan information are
also available. Using the floor plan information in the pre-layout stage would eliminate the problems of setup after layout
or timing problems for hold, significantly reducing the time to market.
Supports the static timing sign off using the Synopsys CAD tool Prime Time. This contributes to the considerable
reduction of time required for test vector creation for timing verification and the simulation time.
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supporting development with minimized timing trouble after trial manufacture.
Supports memory (RAM, ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
Package lineup : SQFP, LQFP, HQFP, PBGA, FBGA
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
CE71 (J-Frame)
P: Plastic
Package and
pin count Material
SQFP
176
208
240
P
P
P
HQFP
208
240
256
304
P
P
P
P
PBGA 256 P
1000K0 2000K 3000K 4000K 5000K
203K
592K
714K
1313K
1681K
1313K 5345K
457K
75
ASIC
Macro-Embedded Type Cell Arrays
CE71 (T-Frame)
P: Plastic
Package and
pin count Material
LQFP
144
176
208
256
P
P
P
P
HQFP
208
240
256
304
P
P
P
P
FBGA
144
176
224
288
P
P
P
P
PBGA
256
352
420
P
P
P
1000K0 2000K 3000K 4000K 5000K
341K
1014K
477K
1358K
1014K
1559K
1188K
3349K
341K
1014K
477K
1559K
1358K
2794K
1976K
76
Macro-Embedded Type Cell Arrays
CE66 Series
Features
High integration : Maximum of 1,138,000 BCs
Technology : 0.35 μm Si-gate, 3- to 4-layer metal wiring
Supply voltage : +3.3 V ± 0.3 V to +2.0 V ± 0.1 V
+5.0 V ± 10% (only for external interface; when internal requirements is 3.3 V)
+3.3 V ± 10% (only for external interface; when internal requirements is 3.3 to 2.0 V)
Gate delay time : tpd = 98 ps (high-speed type, F/O = 2, standard load)
Gate power consumption : 0.29 μW/MHz (F/O = 2, standard load)
Junction temperature range : - 40 to 125°C
High-load driving capability : IOL = 2 mA/4mA/8mA/12mA/24mA mixable.
Output buffer cells with noise reduction circuits
On-chip input pull-up/pull-down resistors (50 kΩ typical)
Buffer cells dedicated to crystal oscillator
Configurable internal bus circuits
Highly integrated RAM/ROM/multipliers mountable; arbitrary words/bits configurable.
Clock skew layout design method (CDDM) based on the floor plan information minimizes post-layout circuit modification,
reducing turnaround time for development.
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supporting development with minimized timing trouble after trial manufacture.
Special interfaces (T-LVTTL and SDRAM-I/F, and others)
Analog PLL
Analog circuits (ADC, DAC, OPAMP and others)
Macros for system ASICs (CPU core, CPU peripheral, operational macros, and others)
Supports DFF scan test with MUX
Supports memory (RAM/ROM) SCAN
Supports memory (RAM) BIST
Supports boundary SCAN
77
ASIC
Macro-Embedded Type Cell Arrays
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
CE66 (P-frame)
CE66 (S-frame)
Package and pin
count
LQFP
100
144
176
208
QFP
120
144
160
176
208
240
256
HQFP
208
240
256
304
PBGA 256
352
FBGA
112
144
168
176
192
224
288
Package and pin
count
LQFP
100
144
208
QFP
120
144
160
176
208
240
HQFP
208
240
256
PBGA 256
352
FBGA
112
144
168
176
192
224
288
500K0 600K 700K 800K 900K 1000K 1100K
100K 1200K
400K300K200K
939K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
1138K
459K
459K 601K
1138K
1138K
1138K
939K
250K0 300K 350K 400K 450K 500K 550K
50K 600K
200K150K100K
112K
112K 311K
112K
112K
163K
256K
390K
163K
256K 390K
390K
390K
579K
136K
163K 311K
206K
311K
579K
163K
78
Macro-Embedded Type Cell Arrays
CE61 Series
Features
High Integration : Maximum of 2,000,000 BCs
Technology : 0. 35 μm Si-gate 3-layer metal wiring/4-layer metal wiring
(There are restrictions applicable frames)
Basic circuit (basic cell) : 2-input NAND/2-input NOR gates
Supply voltage : +3.3 V ± 0.3 V to +2.0 V ± 0.1 V
High voltage tolerant transistor for I/O; interface provided for 5 V devices
(Also requiring a 5 V power supply for interface with 5 V devices)
Gate delay time : High-speed type, tpd = 85 ps (2-input NAND, F/O = 2, standard load)
Junction temperature range : 0 to +100°C
High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA/24 mA mixable.
Power consumption : Reduced to 50% to 20% (over the CE51 Series)
Output buffer cells with noise reduction circuits
On-chip input pull-up/pull-down resistors (Typ. 50kΩ)
Buffer cells for crystal oscillation circuits.
Configurable internal bus circuits
Super high-integration RAM and ROM available. Compilable bit/word configuration
Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing
TAT
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supports development with minimized timing trouble after trial manufacture.
Supports high speed interfaces [P-CML (200 MHz transmission), LVDS (250 MHz transmission), and SDRAM I/F, PCI,5
V tolerant, USB, IEEE 1284]
PLL circuits
Analog circuits (ADC, DAC)
Macros for system ASICs (CPU core and CPU peripheral and operational macros, and others)
Supports tests (for function/DC) using DFF scan with MUX
Supports the test for RAM BIST, RA M SCAN and ROM SCAN
Supports the Boundary SCAN
Now under preparation on for a narrow-pitch pad technology and high-pin count BGA packages to be added to the cur-
rent lineup
Variety of package options to optimize any gate size
79
ASIC
Macro-Embedded Type Cell Arrays
Number of gates used in each package)
The table below lists the available package types and the reference number of gates used."
CE61 (F10 to F80)
P : Plastic C : Ceramic
CE61 (E7 to E71)
P : Plastic
Package and
pin count Material
QFP
64
80
100
120
144
160
160
176
176
208
208
240
240
256
256
304
P
P
P
P
P
P
C
P
C
P
C
P
C
C
P
C
LQFP
64
80
100
P
P
P
HQFP
208
240
256
304
P
P
P
P
BGA
256
352
420
P
P
P
PGA
256
299
361
401
C
C
C
C
Package and
pin count Material
QFP
120
144
160
176
208
240
256
P
P
P
P
P
P
P
LQFP
64
80
100
P
P
P
HQFP
208
240
256
304
P
P
P
P
BGA
256
352
420
576
672
P
P
P
P
P
100K
0200K 300K 400K 500K 600K 700K 800K 900K 1000K1100K1200K1300K
86K
86K
86K
86K 593K
593K
593K
1317K
1317K
1317K
1317K
1317K
1317K
981K
981K
981K
86K
86K
86K
1317K
1317K
981K
981K
593K
981K
981K
1317K
1317K
1317K
981K
100K
0200K 300K 400K 500K 600K 700K 800K 900K 1000K 1100K
509K
509K
509K
747K
747K
747K
747K
78K
128K
128K
1029K
1029K
1029K
1029K
391K
391K
509K
747K
1029K
80
Sea-of-Gate Type CMOS Gate Arrays
CG61 Series (Analog PLL embedment is possible in some frames)
Features
High Integration : 1,560,000 BCs
Technology : 0. 35 μm Si-gate CMOS, 3-layer metal wiring
Basic circuit (basic cell) : 2-input NAND/2-input NOR gates
Supply voltage : +3.3 V ± 0.3 V to +2.0 V ± 0.1 V
(5 V TTL interface is possible when 5 V tolerant I/Os are used.)
Gate delay time : tpd = 85 ps (3.3 V, 2-input NAND, F/O = 2, standard load)
Gate power dissipation : 0.24 μW/MHz (2.0 V, 2-input NAND, F/O = 2, standard load)
Junction temperature range : 0 to +100 °C
High-load driving capability : IOL = 2 mA/4 mA/8 mA/12 mA/24 mA mixable
Output buffer cells with noise reduction circuits
On-chip input pull-up/pull-down resistors (Typ. 50 kΩ <at 3.3 V>)
Buffer cells for crystal oscillation circuits
Configurable internal bus circuits
Compiled RAM can be embedded. Compilable bit/word configuration
An analog PLL can be embedded in CG61P only.
Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing TAT
Simulation (before layout) considering the input through rate and detailed RC delay calculation (after layout),
supports development with minimized timing trouble after trial manufacture.
Supports high speed interfaces (T-LVTTL, P-CML, LVDS, SDRAM I/F)
Supports tests using DFF scan with MUX
Supports the test for RAM BIST and RAM SCAN
81
ASIC
Sea-of-Gate Type CMOS Gate Arrays
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
CG 61 (The frame which cannot use Analog PLL)
P: plastic
CG 61P (The frame which can use Analog PLL)
P: plastic
Package and
pin count Material
LQFP 120
144
P
P
QFP
208
240
256
P
P
P
HQFP
208
240
256
304
P
P
P
P
Package
and
pin count
Material
LQFP
48
64
80
100
120
144
176
208
P
P
P
P
P
P
P
P
QFP 240
256
P
P
100K0 200K 300K 400K 500K 600K 700K 800K 900K
222K
222K
222K
331K
222K
802K
802K
580K
580K
20K0 40K 60K 80K 100K 120K 140K 160K 180K 200K
88K
188K
188K
188K
188K
188K
188K
16K
188K
188K
82
Sea-of-Gate Type CMOS Gate Arrays
CG47 Series
Features
High integration : Maximum 55,000 BCs (on chip)
Technology : 0.65 μm Si-gate CMOS, 2-layer metal wiring
Gate delay time : 300ps (power type 2-input NAND, standard load)
Supply voltage : +5 V ± 5%, +3.3 V ± 0.3 V
[Dual power supply] Internal domain: +3.3 V ± 0.3 V, +5 V ± 5% (cannot be mixed)
I/O: +3.3 V ± 0.3 V, +5 V ± 5% (can be mixed)
Interface enabled between dual power sources
Low power consumption enabled by operating internal supply voltage at 3.3V.
Delay time estimation by detailed time equations
Detailed time equations can be used for the estimation of delay time closer to that of actual devices.
Buffer cells for crystal oscillations circuits
Supports separate low frequency (32 kHz), and high frequency (1 to 40MHz) buffers, and oscillator stop function.
Supports output open drain cell and input fail safe cells
Compiled cells include single port RAM, dual port RAM, and FIFO memory.
Note: The type of the RAM that can be used is specified depending on the internal power supply when the RAM is
a single-port RAM.
HISCAN (scan circuit automatic generation function)
HISCAN is supported with single power supply, but dual power supply specifications and HISCAN are mutually exclu-
sive.
Simple interface
CAD-to-CAD interface uses special language for logic data (FLDL) and test data (FTDL).
Integrated development tools
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
Package and pin
count
LQFP
48
64
80
100
120
144
176
208
QFP 240
25K0 30K 35K 40K 45K 50K5K 20K15K10K
11K 21K 33K
33K
33K
33K
33K
33K
33K
83
ASIC
Sea-of-Gate Type CMOS Gate Arrays
CG46 Series
Features
High integration : Maximum 198,084 BCs (on chip)
Technology : 0.65 μm Si-gate CMOS, 2-layer metal wiring
Basic circuit (basic cell) : 2-input NAND/2-input NOR gates
Input level : TTL/CMOS level mixable
Supply voltage : +5 V ± 5%
+3.3 V ± 0.3 V (optional)
Gate delay time : Standard gate tpd = 360 ps (2-input NAND, standard load)
Power gate tpd = 300 ps (2-input NAND, standard load)
Operating temperature : 0 to +70°C
High-load driving capability : IOL = 3.2 mA/8 mA/12 mA/24 mA mixable
Output buffer cells with noise reduction circuits
On-chip input pull-up/pull-down resistors (Typ. 50 kΩ)
Buffer cells for crystal oscillations circuits
Configurable internal bus circuits
RAM and FIFO memory allowing arbitrary bit/word configuration
Clock skew reduction layout design technique (CDDM) employed to minimize circuit modification after layout, reducing
the period of time for development
Delailed RC delay calculation minimized timing trouble after trial manufacture.
Supports ATG (Automatic Test Generation) based on scan design
Supports HISCAN (automatic scan generation)
Simplified interface: CAD-to-CAD interface uses special language for logic data (FLDL) and test data (FTDL) .
Integrated development tools
Number of gates used in each package
The table below lists the available package types and the reference number of gates used.
Package and pin
count
Number of gates used (BC)
LQFP
48
64
80
100
120
144
176
208
QFP 208
240
50K0 60K 70K 80K 90K 100K10K 40K30K20K
10K 42K
42K
65K
50K
50K
50K
65K
50K
50K
84
Package Line-up
Package Line-up
The packages are classified as follows, according to form, material, and the mounting methods for
which they are suited.
PackagesLead inserted type PGA
SOP
TSOP I
TSOP II
LSSOP
TSSOP
QFP
LQFP
TQFP
UQFP
HQFP
QFN
BGA
SPGA
DTP
QTP
Standard
Dual lead
Quad lead
Quad lead
Dual lead
Quad lead
Matrix type
Flat type
Surface mounted type
Leadless chip carrier
Matrix type
Tape carrier
FBGA
85
Package Line-up
*1: Joint Electron Device Engineering Council
*2: Package name used by Fujitsu Microelectronics
Name of packageDescription Lead pitch (mm)
PGA Pin Grid Array Package 1.27/2.54
SOP Small Outline Package (straight lead)
Small Outline L-Leaded Package 1.27
SOL*2Small Outline L-Leaded Package (JEDEC*1) 1.27
SSOP Shrink Small Outline L-Leaded Package 0.65/0.80/1.00
TSOP (I) Thin Small Outline L-Leaded Package (I) 0.50/0.55/0.60
TSOP (II) Thin Small Outline L-Leaded Package (II) 0.50/0.80/1.00/1.27
SON Small Outline Non-Leaded Package 0.50/1.00
QFP Quad Flat Package (straight lead)
Quad Flat L-Leaded Package 0.40/0.50/0.65/0.80/1.00
LQFP*2Low-Profile Quad Flat L-Leaded Package 0.40/0.50/0.65/0.80
TQFP Thin Quad Flat L-Leaded Package 0.40/0.50
HQFP QFP with Heat Sink 0.40/0.50/0.65
LCC*2Leadless Chip Carrier
1.016/1.27
QFN Quad Flat Non-Leaded Package
BGA Ball Grid Array 1.27/1.0
FBGA Fine pitch Ball Grid Array0.8/0.75/0.65/0.5
DTP Dual Tape Carrier Package
QTP Quad Tape Carrier Package
86
Index
Part number PageDocument Code
CE61 series78-
CE66 series76 -
CE71 series74 -
CE77 series72 DS06-20112-2E
CE81 series71 DS06-20110-5E
CG46 series83-
CG47 series82-
CG61 series80-
CS101 series65 DS06-20210-3E
CS201 series64 DS06-20211-2E
CS302 series64 -
CS66 series69 -
CS81 series68DS06-20206-5E
CS86 series67 DS06-20209-3E
CS91 series66 DS06-20208-3E
FAR-D5GA-881M50-D1AA 10 -
FAR-D5GC-911M50-D1CA 10 -
FAR-D5GD-942M50-D1DF 10 -
FAR-D5GF-881M50-D1FB 10 -
FAR-D5GK-942M50-D1KF 10 -
FAR-D5JB-881M50-D3AA 10 -
FAR-D6GQ-1G9600-D1QBQ 10 -
FAR-D6GZ-1G9600-D1ZA 10 -
FAR-F4SE-35M230-A013 18-
FAR-F4SE-36M000-A005 18-
FAR-F4SE-36M125-A001 18-
FAR-F4SE-36M125-H0E5 18-
FAR-F4SE-36M125-H0E7 18-
FAR-F4SE-36M125-H0E818-
FAR-F4SE-43M750-A006 18-
FAR-F4SE-43M750-H0AB 18-
FAR-F4SE-44M000-A011 18-
FAR-F4SE-44M000-H0A1 18-
FAR-F4SE-44M000-H0A2 18-
FAR-F4SE-44M000-H0A3 18-
FAR-F4SE-44M000-H0A4 18-
FAR-F4SE-44M000-H0A6 18-
FAR-F4SE-44M000-H0A818-
FAR-F4SE-44M000-H0A9 18-
FAR-F4SE-44M000-H0AA 18-
FAR-F4SE-44M000-H0AG 18-
FAR-F4SE-44M000-H0AH 18-
FAR-F4SE-47M250-H0AC 18-
FAR-F4SE-57M000-H0J3 18-
FAR-F4SE-57M000-H0J6 18-
FAR-F4SE-57M000-H0J9 18-
FAR-F4SE-57M000-H0JC 18-
FAR-F5KA-836M50-D4CM 12 -
FAR-F5KA-836M50-D4DF 12 -
FAR-F5KA-881M50-D4DB 12 -
FAR-F5KA-897M50-D4DC 12 -
FAR-F5KA-942M50-D4DD 12 -
FAR-F5KB-836M50-B4EG 12 -
FAR-F5KB-836M50-B4ER 12 -
FAR-F5KB-881M50-B4EA 12 -
FAR-F5KB-881M50-B4ED 12 -
FAR-F5KB-881M50-B4EJ 12 -
FAR-F5KB-942M50-B4EB 12 -
FAR-F6KA-1G5754-L4AA 12 -
FAR-F6KA-1G5754-L4AB 12 -
FAR-F6KA-1G5754-L4AJ 12 -
FAR-F6KA-1G7475-D4CY 12 -
FAR-F6KA-1G7675-D4CT 12 -
FAR-F6KA-1G8425-D4CK 12 -
FAR-F6KA-1G8625-D4DH 12 -
FAR-F6KA-1G8800-L4AF 12 -
FAR-F6KA-1G9500-D4DG 12 -
FAR-F6KA-1G9600-D4CR 12 -
FAR-F6KA-1G9600-D4DQ 12 -
FAR-F6KA-2G0175-D4DR 12 -
FAR-F6KA-2G1400-D4CG 12 -
FAR-F6KA-2G4418-A4VA 12 -
FAR-F6KA-2G4418-D4CU 12 -
FAR-F6KB-1G5754-B4GE 12 -
FAR-F6KB-1G5754-B4GU 12 -
FAR-F6KB-1G7675-B4GF 12 -
FAR-F6KB-1G8425-B4GA 12 -
FAR-F6KB-1G8625-B4GG 12 -
FAR-F6KB-1G8625-B4GT 12 -
FAR-F6KB-1G9500-B4GJ 12 -
FAR-F6KB-1G9600-B4GB 12 -
FAR-F6KB-1G9600-B4GP 12 -
FAR-F6KB-2G1400-B4GC 12 -
FAR-F6KB-2G4418-B4GL 12 -
FAR-G5KG-942M50-Y4SD12 -
FAR-G5KK-911M50-D4KE 12 -
FAR-G5KL-911M50-D4XC 12 -
FAR-G6KE-1G9600-Y4LY 12 -
FAR-G6KG-1G8425-Y4SA12 -
FAR-G6KG-1G9500-Y4PG 12 -
FAR-G6KG-1G9600-Y4PB 12 -
FAR-G6KG-1G9600-Y4SC12 -
FAR-G6KG-2G1400-Y4SH12 -
FAR-K4SH-36M000-L0E1 18-
FAR-K4SH-36M125-F001 18-
MB15E03SL4DS04-21359-4E
MB15E05SL4DS04-21360-4E
MB15E05SR4DS04-21377-1E
MB15E06SR4DS04-21379-1E
MB15E07SL4DS04-21358-4E
MB15E07SR4DS04-21378-2E
MB15E64UV 8-
MB15E65UV 8-
MB15F07SL6DS04-21361-3E
MB15F63UL 8DS04-21382-1E
MB15F72UL 6DS04-21367-1E
MB15F72UV 6DS04-21375-2E
MB15F73UL 6DS04-21368-1E
MB15F73UV 6DS04-21376-2E
MB15F74UL 6DS04-21374-1E
Part number PageDocument Code
87
MB15F74UV 6DS04-21381-1E
MB15F76UL 6DS04-21373-1E
MB15F78UL 6DS04-21369-1E
MB15H121 8-
MB3759 22 DS04-27200-9E
MB3761 32 DS04-27300-4E
MB3763 34 DS04-29101-5E
MB3769A 22 DS04-27202-6E
MB3771 32 DS04-27400-11E
MB3773 32 DS04-27401-8E
MB3775 22 DS04-27204-5E
MB377822 DS04-27203-8E
MB3789A 22 DS04-27268-1E
MB3793-27A 32 DS04-27404-3E
MB3793-28A32 -
MB3793-30A 32 DS04-27406-5E
MB3793-34A 32 -
MB3793-37A 32 DS04-27403-4E
MB3793-42 32 DS04-27402-5E
MB3793-45 32 DS04-27405-2E
MB3800 22 DS04-27212-4E
MB3817 22 DS04-27216-4E
MB3825A 26 DS04-27220-5E
MB3832A 28DS04-27701-4E
MB3841 32 DS04-27603-2E
MB3842 32 DS04-27604-2E
MB3845 32 DS04-27604-2E
MB3863 34 DS04-29104-3E
MB3874 28DS04-27704-3E
MB3875 28DS04-27703-4E
MB3876 28DS04-27704-3E
MB3877 28DS04-27703-4E
MB387830 DS04-27706-2E
MB3879 28DS04-27708-2E
MB388126 DS04-27224-2E
MB388222 DS04-27226-2E
MB388326 DS04-27225-4E
MB388522 DS04-27227-2E
MB388730 DS04-27709-6E
MB3888 30 DS04-27710-2E
MB388922 DS04-27229-2E
MB39A102 26 DS04-27232-3E
MB39A103 26 DS04-27230-3E
MB39A104 22 DS04-27231-5E
MB39A106 22 DS04-27235-2E
MB39A10826 DS04-27237-2E
MB39A110 26 DS04-27236-3E
MB39A112 24 DS04-27239-1E
MB39A113 30 DS04-27240-1E
MB39A114 28DS04-27241-1E
MB39A115 26 DS04-27242-1E
MB39A116A 22 -
MB39A119 30 DS04-27247-3E
MB39A123 26 DS04-27257-2E
MB39A125 30 DS04-27248-1E
Part number PageDocument Code
MB39A126 28DS04-27248-1E
MB39A130A 22 DS04-27269-1E
MB39A132 30 DS04-27265-3E
MB39A134 30 DS04-27264-2E
MB39A135 22 DS04-27263-2E
MB39A136 22 DS04-27262-2E
MB39A13822 DS04-27270-1E
MB39C006A 24 DS04-27245-2E
MB39C007 24 DS04-27246-2E
MB39C011A 22 DS04-27260-2E
MB39C014 24 DS04-27253-3E
MB39C015 24 DS04-27254-2E
MB39C022G 24 DS04-27271-1E
MB39C022J 24 DS04-27271-1E
MB39C022L 24 DS04-27271-1E
MB39C022N 24 DS04-27271-1E
MB39C30830 DS04-27261-6E
MB39C313 32 DS04-27267-1E
MB39C313A 32 -
MB39C316 24 DS04-27266-2E
MB81EDS253245 48NP05-11457-1E
MB81EDS256445 48DS05-11456-1E
MB81EDS256545 *648DS05-11455-1E
MB81EDS516445 48NP05-11464-1E
MB81EDS516545 *648DS05-11463-1E
MB81ES171625-12 48DS05-11407-3E
MB81ES171625-15 48DS05-11407-3E
MB81ES171625-15-X *348DS05-11408-3E
MB81ES173225-12 48DS05-11407-3E
MB81ES173225-15 48DS05-11407-3E
MB81ES173225-15-X *348DS05-11408-3E
MB81ES253245 48-
MB81ES256445 48NP05-11458-1E
*MB82D01181E-60L 62 -
*MB82DBS02163C-70L 62 -
*MB82DBS02163E-70L 62 NP05-11450-2E
MB82DBS02163F-70L 46 DS05-11462-1E
*MB82DBS04163C-70L 62 -
*MB82DBS04163D-70L 62 -
*MB82DBS04164E-70L 62 DS05-11448-2E
*MB82DBS04314C-70L 62 -
*MB82DBS08164C-70L 62 -
MB82DBS08164D-70L 46 DS05-11454-1E
*MB82DP02183C-65L 62 -
*MB82DP02183E-65L 62 -
MB82DP02183F-65L 46 DS05-11460-1E
*MB82DP04183C-65L 62 -
*MB82DP04183D-65L 62 -
*MB82DP04184E-65L 62 DS05-11447-3E
*MB82DS01181E-70L 62 -
MB85R1001 50 DS05-13103-7E
MB85R1002 50 DS05-13104-5E
MB85R256H 50 DS05-13106-5E
MB85RS256 50 DS05-13105-3E
MB86434 2, 14 DS04-23003-1E
Part number PageDocument Code
Index
: New product, *: Products scheduled to be out of production
88
MB86435 2DS04-23004-1E
MB86437 2DS04-23005-3E
MB86667 20 -
MB8666820 -
MB86967 14 -
MB86977 14 DS04-22114-1E
MB8697814 -
MB86A20S20 -
MB86A21 20 -
MB86A27S20 -
MB86A27T 20 -
MB86H01BA 20 -
MB86H01BB 20 -
MB86H52 20 -
MB86H55 20 -
MB86H56 20 -
MB86H57 20 -
MB86H5820 -
MB86H60 20 -
MB88101A 36 DS04-13105-3E
MB88111 36 DS04-13106-2E
MB88141 36 DS04-13211-3E
MB88141A 36 DS04-13213-1E
MB88146A 36 DS04-13513-1E
MB88151A-100 40 DS04-29127-3E
MB88151A-101 40 DS04-29127-3E
MB88151A-200 40 DS04-29127-3E
MB88151A-201 40 DS04-29127-3E
MB88151A-400 40 DS04-29127-3E
MB88151A-401 40 DS04-29127-3E
MB88151A-500 40 DS04-29127-3E
MB88151A-501 40 DS04-29127-3E
MB88151A-800 40 DS04-29127-3E
MB88151A-801 40 DS04-29127-3E
MB88152A-100 40 DS04-29125-3E
MB88152A-101 40 DS04-29125-3E
MB88152A-102 40 DS04-29125-3E
MB88152A-110 40 DS04-29125-3E
MB88152A-111 40 DS04-29125-3E
MB88152A-112 40 DS04-29125-3E
MB88153A-100 40 DS04-29128-1E
MB88153A-101 40 DS04-29128-1E
MB88153A-110 40 DS04-29128-1E
MB88153A-111 40 DS04-29128-1E
MB88154A-101 40 DS04-29129-2E
MB88154A-102 40 DS04-29129-2E
MB88154A-103 40 DS04-29129-2E
MB88154A-111 40 DS04-29129-2E
MB88154A-112 40 DS04-29129-2E
MB88154A-113 40 DS04-29129-2E
MB88155-100 42 DS04-29119-2E
MB88155-101 42 DS04-29119-2E
MB88155-102 42 DS04-29119-2E
MB88155-103 42 DS04-29119-2E
MB88155-110 42 DS04-29119-2E
Part number PageDocument Code
MB88155-111 42 DS04-29119-2E
MB88155-112 42 DS04-29119-2E
MB88155-113 42 DS04-29119-2E
MB88155-400 42 DS04-29119-2E
MB88155-402 42 DS04-29119-2E
MB88155-410 42 DS04-29119-2E
MB88155-412 42 DS04-29119-2E
MB88161 42 DS04-29121-1E
MB88162 42 DS04-29122-1E
MB88163 42 DS04-29137-1E
MB8818142 DS04-29130-1E
MB8818242 -
MB88345 36 DS04-13508-2E
MB88346B 36 DS04-13501-3E
MB88346L 36 DS04-13511-3E
MB88347 36 DS04-13506-3E
MB88347L 36 DS04-13512-2E
MB88R157A 40 -
MB89R118B34 DS04-33105-1E
MB89R119 34 DS04-33102-3E
MB90050 16 DS04-28829-2E
MB90092 16 DS04-28824-3E
MB90096 16 DS04-28826-5E
MB90097 16 DS04-28825-5E
MB90098A16 DS04-28827-1E
MB90099 16 DS04-28828-3E
MSC1007 38DS04-29131-1E
*S25FL008A62 -
*S25FL016A 62 -
*S25FL032A 62 -
S25FL032P 60 -
*S25FL040A 62 -
*S25FL064A 62 -
S25FL064P 60 -
S25FL128P60 -
S25FL129P 60 -
*S29AL004D 62 -
S29AL008J55 54 -
S29AL008J70 54 -
S29AL016J55 54 -
S29AL016J70 54 -
S29AL032D70 54 -
S29AL032D90 54 -
S29AS008J70 52 -
S29AS016J70 52 -
S29GL01GP11 56 -
S29GL01GP12 56 -
S29GL01GP13 56 -
S29GL01GR11 56 -
S29GL032N90 56 -
S29GL064N90 56 -
S29GL128P10 56 -
S29GL128P11 56 -
S29GL128P90 56 -
S29GL256P10 56 -
Part number PageDocument Code
Index
: Under development, *: Products scheduled to be out of production
89
S29GL256P11 56 -
S29GL256P90 56 -
S29GL512P10 56 -
S29GL512P11 56 -
S29GL512P12 56 -
S29GL512R10 56 -
S29JL032H70 54 -
S29JL032H90 54 -
S29JL064H70 54 -
S29JL064H90 54 -
S29PL032J55 54 -
S29PL032J60 54 -
S29PL032J65 54 -
S29PL032J70 54 -
S29PL064J55 54 -
S29PL064J60 54 -
S29PL064J65 54 -
S29PL064J70 54 -
S29PL127J55 54 -
S29PL127J60 54 -
S29PL127J65 54 -
S29PL127J70 54 -
S29WS128P0LBxW 58-
S29WS128P0PBxW 58-
S29WS128P0SBxW 58-
S29WS128PABBxW 58-
S29WS256P0LBxW 58-
S29WS256P0PBxW 58-
S29WS256P0SBxW 58-
S29WS256PABBxW 58-
S29WS512P0LBxW 58-
S29WS512P0PBxW 58-
S29WS512P0SBxW 58-
S29WS512PABBxW 58-
SBF0402GPL 18-
SBF0402JPL 18-
SBF0407BPL 18-
SBF0408KPL 18-
SBF0408LPL 18-
SBSF03AAPL 18-
SBSF03ABPL 18-
T021 series10 -
T031 series10 -
V08 series10 -
V09 series10 -
V10x series10 -
VC-90 series10 -
Part number PageDocument Code
Index
m e m o
FUJITSU MICROELECTRONICS LIMITED
Shinjuku Dai-Ichi Seimei Bldg., 7-1, Nishishinjuku 2-chome,
Shinjuku-ku, Tokyo 163-0722, Japan
Tel: +81-3-5322-3329
http://jp.fujitsu.com/fml/en/
For further information please contact:
North and South America
FUJITSU MICROELECTRONICS AMERICA, INC.
1250 E. Arques Avenue, M/S 333
Sunnyvale, CA 94085-5401, U.S.A.
Tel: +1- 4 0 8-737-5600 Fax: +1-408-737-5999
http://www.fma.fujitsu.com/
Europe
FUJITSU MICROELECTRONICS EUROPE GmbH
Pittlerstrasse 47, 63225 Langen, Germany
Tel: +49-6103-690-0 Fax: +49-6103-690-122
http://emea.fujitsu.com/microelectronics/
Korea
FUJITSU MICROELECTRONICS KOREA LTD.
206 Kosmo Tower Building, 1002 Daechi-Dong,
Gangnam-Gu, Seoul 135-280, Republic of Korea
Tel: +82-2-3484-7100 Fax: +82-2-3484-7111
http://kr.fujitsu.com/fmk/
Asia Pacific
FUJITSU MICROELECTRONICS ASIA PTE. LTD.
151 Lorong Chuan,
#05-08 New Tech Park 556741 Singapore
Tel : +65-6281-0770 Fax : +65-6281-0220
http://www.fmal.fujitsu.com/
FUJITSU MICROELECTRONICS SHANGHAI CO., LTD.
Rm.3102, Bund Center, No.222 Y
an An Road(E),
Shanghai 200002, China
Tel : +86-21-6146-3688 Fax : +86-21-6335-1605
http://cn.fujitsu.com/fmc/
FUJITSU MICROELECTRONICS PACIFIC ASIA LTD.
10/F., World Commerce Centre, 11 Canton Road,
Tsimshatsui, Kowloon, Hong Kong
Tel : +852-2377-0226 Fax : +852-2376-3269
http://cn.fujitsu.com/fmc/en/
All Rights Reserved.
The contents of this document are subject to change without notice.
Customers are advised to consult with sales representatives before ordering.
The information, such as descriptions of function and application circuit examples, in this document are presented solely for the purpose of
reference to show examples of operations and uses of FUJITSU MICROELECTRONICS device; FUJITSU MICROELECTRONICS does
not warrant proper operation of the device with respect to use based on such information. When you develop equipment incorporating the
device based on such information, you must assume any responsibility arising out of such use of the information.
FUJITSU MICROELECTRONICS assumes no liability for any damages whatsoever arising out of the use of the information.
Any information in this document, including descriptions of function and schematic diagrams, shall not be construed as license of the use
or exercise of any intellectual property right, such as patent right or copyright, or any other right of FUJITSU MICROELECTRONICS or
any third party or does FUJITSU MICROELECTRONICS warrant non-infringement of any third-party's intellectual property right or other
right by using such information. FUJITSU MICROELECTRONICS assumes no liability for any infringement of the intellectual property
rights or other rights of third parties which would result from the use of information contained herein.
The products described in this document are designed, developed and manufactured as contemplated for general use, including without
limitation, ordinary industrial use, general office use, personal use, and household use, but are not designed, developed and manufactured
as contemplated (1) for use accompanying fatal risks or dangers that, unless extremely high safety is secured, could have a serious effect to
the public, and could lead directly to death, personal injury, severe physical damage or other loss (i.e., nuclear reaction control in nuclear
facility, aircraft flight control, air traffic control, mass transport control, medical life support system, missile launch control in weapon
system), or (2) for use requiring extremely high reliability (i.e., submersible repeater and artificial satellite).
Please note that FUJITSU MICROELECTRONICS will not be liable against you and/or any third party for any claims or damages arising
in connection with above-mentioned uses of the products.
Any semiconductor devices have an inherent chance of failure. You must protect against injury, damage or loss from such failures by
incorporating safety design measures into your facility and equipment such as redundancy, fire protection, and prevention of over-current
levels and other abnormal operating conditions.
Exportation/release of any products described in this document may require necessary procedures in accordance with the regulations of the
Foreign Exchange and Foreign Trade Control Law of Japan and/or US export control laws.
The company names and brand names herein are the trademarks or registered trademarks of their respective owners.
Edited Sales Promotion Dept.
©2009 FUJITSU MICROELECTRONICS LIMITED Printed in Japan
2009.10