1
Features
18.1SPECint95, Estimates 12.3 SPECfp95 at 400 MHz (PC755B)
15.7SPECint95, 9SPECfp95 at 350 MHz (PC745B)
733 MIPS at 400 MHz (PC755B) at 641 MIPS at 350 MHz (PC745B)
Selectable Bus Clock (12 CPU Bus Dividers up to 10x)
PDTypical 6.4W at 400 MHz, Full Operating Conditions.
Nap, Doze and Sleep Modes for Power Savings
Superscalar (3 Instructions per Clock Cycle) Two Instruction + Branch
4 Beta Byte Virtual Memory, 4-GByte of Physical Memory
64-bit Data and 32-bit Address Bus Interface
32-KB Instruction and Data Cache
Six Independent Execution Units
Write-back and Write-through Operations
fINT max=400MHz(TBC)
fBUS max = 100 MHz
Voltage I/O 2.5V/3.3V; Voltage Int 2.0V
Description
The PC755B and PC745B PowerPC®microprocessors are high-performance, low-
power, 32-bit implementations of the PowerPC Reduced Instruction Set Computer
(RISC) architecture, especially enhanced for embedded applications.
The PC755B and PC745B microprocessors differ only in that the PC755B features an
enhanced, dedicated L2 cache interface with on-chip L2 tags. The PC755B is a drop-
in replacement for the award winning PowerPC 750™ microprocessor and is footprint
and user software code compatible with the MPC7400 microprocessor with AltiVec
technology. The PC745B is a drop-in replacement for the PowerPC 740micropro-
cessor and is also footprint and user software code compatible with the PowerPC
603e™microprocessor. PC755B/745B microprocessors provide on-chip debug sup-
port and are fully JTAG-compliant.
The PC745B microprocessor is pin compatible with the TSPC603e family.
Screening
This product is manufactured in full compliance with:
CBGA + CI-CGA + FC-PBGA up screenings based upon Atmel standards
Full military temperature range (Tj = -55°C,+125°C)
industrial temperature range (Tj = -40°C,+110°C)
ZF suffix
PBGA255
Flip-Chip Plastic Ball Grid Array
ZF suffix
PBGA360
Flip-Chip Plastic Ball Grid Array
G suffix
CBGA255 and CBGA360
Ceramic Ball Grid Array
GS suffix
CI-CBGA255 and CI-CBGA360
Ceramic Ball Grid Array
with Solder Column Interposer (SCI)
PowerPC
755B/745B RISC
Microprocessor
PC755B/745B
Preliminary
β-site
Rev. 2138A–HIREL–05/02
2PC755B/745B 2138A–HIREL–05/02
General Description
Simplified Block Diagram The PC755B is targeted for low power systems and supports power management fea-
tures such as doze, nap, sleep, and dynamic power management. The PC755B
consists of a processor core and an internal L2 Tag combined with a dedicated L2
cache interface and a 60x bus.
Figure 1. PC755B Block Diagram
Additional Features
¥ Time Base Counter/Decrementer
¥ Clock Multiplier
¥ JTAG/COP Interface
¥ Thermal/Power Management
¥ Performance Monitor
+
+
Fetcher Branch Processing
BTIC
64-Entry
+ x ÖFPSCR
CR FPSCR
L2CR
CTR
LR
BHT
Data MMU
Instruction MMU
Not in the PC745
EA
PA
+ x Ö
Instruction Unit
Unit
Instruction Queue
(6-Word)
2 Instructions
Reservation Station Reservation Station Reservation Station
Integer Unit 1 System Register
Unit
Dispatch Unit 64-Bit
(2 Instructions)
SRs
ITLB
(Shadow) IBAT
Array 32-Kbyte
I Cache
Tags
128-Bit
(4 Instructions)
Reservation Station
32-Bit
Floating-Point
Unit
Rename Buffers
(6)
FPR File
32-Bit 64-Bit 64-Bit
Reservation Station
(2-Entry)
Load/Store Unit
(EA Calculation)
Store Queue
GPR File
Rename Buffers
(6)
32-Bit
SRs
(Original)
DTLB
DBAT
Array
64-Bit
Completion Unit
Reorder Buffer
(6-Entry)
Tags 32-Kbyte
D Cache
60x Bus Interface Unit
Instruction Fetch Queue
L1 Castout Queue
Data Load Queue L2 Controller
L2 Tags
L2 Bus Interface
Unit
L2 Castout Queue
32-Bit Address Bus
32-/64-Bit Data Bus
17-Bit L2 Address Bus
64-Bit L2 Data Bus
Integer Unit 2
3
PC755B/745B
2138A–HIREL–05/02
General Parameters The following list provides a summary of the general parameters of the PC755B:
Features This section summarizes features of the PC755B’s implementation of the PowerPC
architecture. Major features of the PC755B are as follows:
Branch Processing Unit
Four instructions fetched per clock
One branch processed per cycle (plus resolving 2 speculations)
Up to 1 speculative stream in execution, 1 additional speculative stream in
fetch
512-entry branch history table (BHT) for dynamic prediction
64-entry, 4-way set associative Branch Target Instruction Cache (BTIC) for
eliminating branch delay slots
Dispatch Unit
Full hardware detection of dependencies (resolved in the execution units)
Dispatch two instructions to six independent units (system, branch,
load/store, fixed-point unit 1, fixed-point unit 2, floating-point)
Serialization control (predispatch, postdispatch, execution serialization)
Decode
Register file access
Forwarding control
Partial instruction decode
Completion
6 entry completion buffer
Instruction tracking and peak completion of two instructions per cycle
Completion of instructions in program order while supporting out-of-order
instruction execution, completion serialization and all instruction flow
changes
Fixed Point Units (FXUs) that share 32 GPRs for Integer Operands
Fixed Point Unit 1 (FXU1)-multiply, divide, shift, rotate, arithmetic, logical
Fixed Point Unit 2 (FXU2)-shift, rotate, arithmetic, logical
Single-cycle arithmetic, shifts, rotates, logical
Multiply and divide support (multi-cycle)
Technology 0.22 µm CMOS, six-layer metal
Die size 6.61 mm x 7.73 mm (51 mm2)
Transistor count 6.75 million
Logic design Fully-static Packages
PC745B Surface mount 255 Plastic Ball Grid Array (PBGA)
PC755B Surface mount 360 Plastic Ball Grid Array (PBGA)
Core power supply 2V ± 100 mV DC (nominal; some parts support core
voltages down to 1.8V; see Table 5 for recommended
operating conditions)
I/O power supply 2.5V ± 100 mV DC or 3.3V ± 165 mV DC (input thresh-
olds are configuration pin selectable)
4PC755B/745B 2138A–HIREL–05/02
Early out multiply
Floating-point Unit and a 32-entry FPR File
Support for IEEE-754 standard single and double precision floating point
arithmetic
Hardware support for divide
Hardware support for denormalized numbers
Single-entry reservation station
Supports non-IEEE mode for time-critical operations
•SystemUnit
Executes CR logical instructions and miscellaneous system instructions
Special register transfer instructions
Load/Store Unit
One cycle load or store cache access (byte, half-word, word, double-word)
Effective address generation
Hits under misses (one outstanding miss)
Single-cycle unaligned access within double word boundary
Alignment, zero padding, sign extend for integer register file
Floating point internal format conversion (alignment, normalization)
Sequencing for load/store multiples and string operations
Store gathering
Cache and TLB instructions
Big and Little-endian byte addressing supported
Misaligned Little-endian supported
Level 1 Cache structure
32K, 32 bytes line, 8-way set associative instruction cache (iL1)
32K, 32 bytes line, 8-way set associative data cache (dL1)
Cache locking for both instruction and data caches, selectable by group of
ways
Single-cycle cache access
Pseudo least-recently used (PLRU) replacement
Copy-back or Write Through data cache (on a page per page basis)
Supports all PowerPC memory coherency modes
Non-Blocking instruction and data cache (one outstanding miss under hits)
No snooping of instruction cache
Level 2 (L2) Cache Interface (not implemented on PC745B)
Internal L2 cache controller and tags; external data SRAMs
256K, 512K, and 1-Mbyte 2-way set associative L2 cache support
Copyback or write-through data cache (on a page basis, or for all L2)
Instruction-only mode and data-only mode.
64 bytes (256K/512K) or 128 bytes (1M) sectored line size
Supports flow through (register-buffer) synchronous burst SRAMs, pipelined
(register-register) synchronous burst SRAMs (3-1-1-1 or strobeless 4-1-1-1)
and pipelined (register-register) late-write synchronous burst SRAMs
5
PC755B/745B
2138A–HIREL–05/02
L2 configurable to direct mapped SRAM interface or split cache/direct
mapped or private memory
Core-to-L2 frequency divisors of 1, 1.5, 2, 2.5, and 3 supported
64-bit data bus
Selectable interface voltages of 2.5V and 3.3V
Parity checking on both L2 address and data
Memory Management Unit
128 entry, 2-way set associative instruction TLB
128 entry, 2-way set associative data TLB
Hardware reload for TLBs
Hardware or optional software tablewalk support
8 instruction BATs and 8 data BATs
8 SPRGs, for assistance with software tablewalks
Virtual memory support for up to 4 hexabytes (252)ofvirtualmemory
Real memory support for up to 4 gigabytes (232) of physical memory
Bus Interface
Compatible with 60X processor interface
32-bit address bus
64-bit data bus, 32-bit mode selectable
Bus-to-core frequency multipliers of 2x, 3x, 3.5x, 4x, 4.5x, 5x, 5.5x, 6x, 6.5x,
7x, 7.5x, 8x, 10x supported
Selectable interface voltages of 2.5V and 3.3V.
Parity checking on both address and data busses
Power Management
Low-power design with thermal requirements very similar to PC740/750.
Selectable interface voltage of 1.8V/2.0V can reduce power in output buffers
(compared to 3.3V)
Three static power saving modes: doze, nap, and sleep
Dynamic power management
Testability
–LSSDscandesign
IEEE 1149.1 JTAG interface
Integrated Thermal Management Assist Unit
One-ship thermal sensor and control logic
Thermal Management Interrupt for software regulation of junction
temperature
6PC755B/745B 2138A–HIREL–05/02
Pin Assignments Figure 2 (in part A) shows the pinout of the PC745B, 255PBGA package as viewed from
the top surface. Part B shows the side profile of the PBGA package to indicate the direc-
tion of the top surface view.
Figure 2. Pinout of the PC745B, 255 PBGA Package as Viewed from the Top Surface
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
12345678 91011121314151
6
Not to Scale
View Die
Substrate Assembly
Encapsulant
Part B
Part A
7
PC755B/745B
2138A–HIREL–05/02
Figure 3 (in part A) shows the pinout of the PC755B, 360 PBGA packages as viewed
from the top surface. Part B shows the side profile of the PBGA package to indicate the
direction of the top surface view.
Figure 3. Pinout of the PC755B, 360 PBGA, CBGA and CI-CGA Packages as Viewed from the Top Surface
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
1234567891011121314151
6
Not to Scale
17 18 19
U
V
W
View Die
Substrate Assembly
Encapsulant
Part B
Part A
8PC755B/745B 2138A–HIREL–05/02
Pinout Listings Table 1 provides the pinout listing for the PC745B, 255 PBGA package.
Table 1. Pinout Listing for the PC745B, 255 PBGA Package
Signal Name Pin Number Active I/O
I/F Voltages Supported(1)
1.8V/2.0V 3.3V
A[0-31] C16, E4, D13, F2, D14, G1, D15, E2, D16, D4, E13, G2,
E15, H1, E16, H2, F13, J1, F14, J2, F15, H3, F16, F4, G13,
K1, G15, K2, H16, M1, J15, P1
High I/O
AACK L2 Low Input
ABB K4 Low I/O
AP[0-3] C1, B4, B3, B2 High I/O
ARTRY J4 Low I/O
AVDD A10 2V 2V
BG L1 Low Input
BR B6 Low Output
BVSEL(3)(4)(5) B1 High Input GND 3.3V
CI E1 Low Output
CKSTP_IN D8 Low Input
CKSTP_OUT A6 Low Output
CLK_OUT D7 Output
DBB J14 Low I/O
DBG N1 Low Input
DBDIS H15 Low Input
DBWO G4 Low Input
DH[0-31] P14, T16, R15, T15, R13, R12, P11, N11, R11, T12, T11,
R10, P9, N9, T10, R9, T9, P8, N8, R8, T8, N7, R7, T7, P6,
N6, R6, T6, R5, N5, T5, T4
High I/O
DL[0-31] K13, K15, K16, L16, L15, L13, L14, M16, M15, M13, N16,
N15, N13, N14, P16, P15, R16, R14, T14, N10, P13, N12,
T13,P3,N3,N4,R3,T1,T2,P4,T3,R4
High I/O
DP[0-7] M2, L3, N2, L4, R1, P2, M4, R2 High I/O
DRTRY G16 Low Input
GBL F1 Low I/O
GND C5, C12, E3, E6, E8, E9, E11, E14, F5, F7, F10, F12, G6,
G8, G9, G11, H5, H7, H10, H12, J5, J7, J10, J12, K6, K8,
K9, K11, L5, L7, L10, L12, M3, M6, M8, M9, M11, M14, P5,
P12
HRESET A7 Low Input
INT B15 Low Input
L1_TSTCLK(2) D11 High Input
L2_TSTCLK(2) D12 High Input
LSSD_MODE(2) B10 Low Input -–
9
PC755B/745B
2138A–HIREL–05/02
Notes: 1. OVDD supplies power to the processor bus, JTAG, and all control signals and VDD supplies power to the processor core and
the PLL (after filtering to become AVDD). These columns serve as a reference for the nominal voltage supported on a given
signal as selected by the BVSEL pin configuration of Table 4 and the voltage supplied. For actual recommended value of VIN
or supply voltages see Table 3.
2. These are test signals for factory use only and must be pulled up to OVDD for normal machine operation.
3. To allow for future I/O voltage changes, provide the option to connect BVSEL independently to either OVDD (selects 3.3V) or
to OGND (selects 1.8V/2.0V).
4. Uses one of 15 existing no-connects in PC745’s 255-BGA package.
5. Internal pull up on die.
6. Internally tied to GND in the PC745B 255-BGA package to indicate to the power supply that a low-voltage processor is
present. This signal is not a power supply input.
MCP C13 Low Input
NC (No-
Connect) B7,B8,C3,C6,C8,D5,D6,H4,J16,A4,A5,A2,A3,B5
OVDD C7, E5, E7, E10, E12, G3, G5, G12, G14, K3, K5, K12, K14,
M5, M7, M10, M12, P7, P10 1.8V/2.0V 3.3V
PLL_CFG[0-3] A8, B9, A9, D9 High Input
QACK D3 Low Input
QREQ J3 Low Output
RSRV D1 Low Output
SMI A16 Low Input
SRESET B14 Low Input
SYSCLK C9 Input
TA H14 Low Input
TBEN C2 High Input
TBST A14 Low I/O
TCK C11 High Input
TDI(5) A11 High Input
TDO A12 High Output
TEA H13 Low Input
TLBISYNC C4 Low Input
TMS(5) B11 High Input
TRST(5) C10 Low Input
TS J13 Low I/O
TSIZ[0-2] A13, D10, B12 High Output
TT[0-4] B13, A15, B16, C14, C15 High I/O
WT D2 Low Output
VDD 2 F6, F8, F9, F11, G7, G10, H6, H8, H9, H11, J6, J8, J9, J11,
K7, K10, L6, L8, L9, L11 2V 2V
VOLTDET(6) F3 High Output
Table 1. Pinout Listing for the PC745B, 255 PBGA Package (Continued)
Signal Name Pin Number Active I/O
I/F Voltages Supported(1)
1.8V/2.0V 3.3V
10 PC755B/745B 2138A–HIREL–05/02
Table 2 provides the pinout listing for the PC755B, 360 PBGA, CBGA and CI-CGA.
Table 2. Pinout Listing for the PC755B, 360 PBGA, CBGA and CI-CGA Packages(8)
Signal Name Pin Number Active I/O
I/F Voltages
Supported(1)
1.8V/2.0V 3.3V
A[0-31] A13, D2, H11, C1, B13, F2, C13, E5, D13, G7, F12, G3, G6, H2,
E2,L3,G5,L4,G4,J4,H7,E1,G2,F3,J7,M3,H3,J2,J6,K3,K2,
L2
High I/O
AACK N3 Low Input
ABB L7 Low I/O
AP[0-3] C4, C5, C6, C7 High I/O
ARTRY L6 Low I/O
AVDD A8 - - 2V 2V
BG H1 Low Input
BR E7 Low Output
BVSEL(3)(5)(6) W1 High Input GND 3.3V
CI C2 Low Output
CKSTP_IN B8 Low Input
CKSTP_OUT D7 Low Output
CLK_OUT E3 Output
DBB K5 Low I/O
DBDIS G1 Low Input
DBG K1 Low Input
DBWO D1 Low Input
DH[0-31] W12, W11, V11, T9, W10, U9, U10, M11, M9, P8, W7, P9, W9,
R10,W6,V7,V6,U8,V9,T7,U7,R7,U6,W5,U5,W4,P7,V5,V4,
W3, U4, R5
High I/O
DL[0-31] M6, P3, N4, N5, R3, M7, T2, N6, U2, N7, P11, V13, U12, P12, T13,
W13, U13, V10, W8, T11, U11, V12, V8, T1, P1, V1, U1, N1, R2,
V3, U3, W2
High I/O
DP[0-7] L1, P2, M2, V2, M1, N2, T3, R1 High I/O
DRTRY H6 Low Input
GBL B1 Low I/O
GND D10, D14, D16, D4, D6, E12, E8, F4, F6, F10, F14, F16, G9, G11,
H5, H8, H10, H12, H15, J9, J11, K4, K6, K8, K10, K12, K14, K16,
L9, L11,M5, M8, M10, M12, M15, N9,N11, P4, P6, P10,P14, P16,
R8, R12, T4, T6, T10, T14, T16
––GNDGND
HRESET B6 Low Input
INT C11 Low Input
L1_TSTCLK(2) F8 High Input
L2ADDR[0-16] L17, L18, L19, M19, K18, K17, K15, J19, J18, J17, J16, H18, H17,
J14, J13, H19, G18 High Output
11
PC755B/745B
2138A–HIREL–05/02
L2AVDD L13 2V 2V
L2CE P17 Low Output
L2CLKOUTA N15 Output
L2CLKOUTB L16 Output
L2DATA[0-63] U14, R13, W14, W15, V15, U15, W16, V16, W17, V17, U17, W18,
V18, U18, V19, U19, T18, T17, R19, R18, R17, R15, P19, P18,
P13, N14, N13, N19, N17, M17, M13, M18, H13, G19, G16, G15,
G14, G13, F19, F18, F13, E19, E18, E17, E15, D19, D18, D17,
C18, C17, B19, B18, B17, A18, A17, A16, B16, C16, A14, A15,
C15, B14, C14, E13
High I/O
L2DP[0-7] V14, U16, T19, N18, H14, F17, C19, B15 High I/O
L2OVDD D15, E14, E16, H16, J15, L15, M16, P15, R14, R16, T15, F15 1.8V/2V 3.3V
L2SYNC_IN L14 Input
L2SYNC_OUT M14 Output
L2_TSTCLK(2) F7 High Input
L2VSEL(1)(3)(5)(6) A19 High Input GND 3.3V
L2WE N16 Low Output
L2ZZ G17 High Output
LSSD_MODE(2) F9 Low Input
MCP B11 Low Input
NC (No-Connect) B3, B4, B5, W19, K9, K114,K19
4––
OVDD D5,D8,D12,E4,E6,E9,E11,F5,H4,J5,L5,M4,P5,R4,R6,R9,
R11, T5, T8, T12 ––1.8V/2V3.3V
PLL_CFG[0-3] A4, A5, A6, A7 High Input
QACK B2 Low Input
QREQ J3 Low Output
RSRV D3 Low Output
SMI A12 Low Input
SRESET E10 Low Input
SYSCLK H9 Input
TA F1 Low Input
TBEN A2 High Input
TBST A11 Low I/O
TCK B10 High Input
TDI(6) B7 High Input
TDO D9 High Output
Table 2. Pinout Listing for the PC755B, 360 PBGA, CBGA and CI-CGA Packages(8) (Continued)
Signal Name Pin Number Active I/O
I/F Voltages
Supported(1)
1.8V/2.0V 3.3V
12 PC755B/745B 2138A–HIREL–05/02
Notes: 1. OVDD supplies power to the processor bus, JTAG, and all control signals except the L2 cache controls (L2CE, L2WE, and
L2ZZ); L2OVDD supplies power to the L2 cache interface (L2ADDR[0-16], L2DATA[0-63], L2DP[0-7] and L2SYNC-OUT)
and the L2 control signals; and VDD supplies power to the processor core and the PLL and DLL (after filtering to become
AVDD and L2AVDD respectively). These columns serve as a reference for the nominal voltage supported on a given signal as
selected by the BVSEL/L2VSEL pin configurations of Table 4 and the voltage supplied. For actual recommended value of
VIN or supply voltages see Table 5.
2. These are test signals for factory use only and must be pulled up to OVDD for normal machine operation.
3. To allow for future I/O voltage changes, provide the option to connect BVSEL and L2VSEL independently to either OVDD
(selects 3.3V) or to OGND (selects 1.8V/2.0V).
4. These pins are reserved for potential future use as additional L2 address pins.
5. Uses one of 9 existing no-connects in PC750’s 360-BGA package.
6. Internal pull up on die.
7. Internally tied to L2OVDD in the PC755B 360-BGA package to indicate the power present at the L2 cache interface. This sig-
nal is not a power supply input.
8. This is different from the PC745B 255-BGA package.
TEA J1 Low Input
TLBISYNC A3 Low Input
TMS(6) C8 High Input
TRST(6) A10 Low Input
TS K7 Low I/O
TSIZ[0-2] A9, B9, C9 High Output
TT[0-4] C10, D11, B12, C12, F11 High I/O
WT C3 Low Output
VDD G8, G10, G12, J8, J10, J12, L8, L10, L12, N8, N10, N12 2V 2V
VOLTDET(7) K13 High Output
Table 2. Pinout Listing for the PC755B, 360 PBGA, CBGA and CI-CGA Packages(8) (Continued)
Signal Name Pin Number Active I/O
I/F Voltages
Supported(1)
1.8V/2.0V 3.3V
13
PC755B/745B
2138A–HIREL–05/02
Signal Description
Figure 4. PC755B Microprocessor Signal Groups
BR
BG
ABB
TS
TT[0-4]
AP[0-3]
TBST
TS1Z[0-2]
GBL
WT
CI
AACK
ARTRY
DBG
DBWO
DBB
L2ADDR [16-0]
L2DAT A [0-63]
L2DP [0-7]
L2CLK-OUT [A-B]
L2WE
A[0-31] L2SYNC_OUT
L2SYNC_IN
INT
SMI
MCP
HRESET
CKSTP_IN
CKSTP_OUT
SYSCLK,
PLL_CFG [0-3]
4
17
64
8
Factory Test
JTAG:COP
ADDRESS
ARBITRATION
ADDRESS
START
ADDRESS
BUS
TRANSFER
ATTRIBUTE
ADDRESS
TERMINATION
DATA
ARBITRATION
L2 CACHE
L2 VSEL
ADDRESS/
DATA
L2 CACHE
CLOCK/CONTROL
INTERRUPTS
RESET
CLOCK
CONTROL
TEST INTERFACE
1
1
2
1
1
1
1
1
1
5
3
1
1
1
1
1
32
4
5
3
1
1
1
1
1
1
D[0-63]
DATA
TRANSFER D[P0-7]
DBDIS
TA
DATA
TERMINATION DRTRY
TEA
PC755B
L2AVDD
L2VDD
SRESET
1
1
RSRV
TBEN
TLBISYNC
QREQ
QACK
PROCESSOR
STATUS
CONTROL
CLK_OUT
1
1
1
1
1
1
1
1
VDD AVDD
L2CE
L2ZZ
Not supported in the PC745B
1
1
8
1
1
1
11
64
GND
OVDD
VOLTDET
14 PC755B/745B 2138A–HIREL–05/02
Detailed
Specification
Scope This drawing describes the specific requirements for the microprocessor PC755B, in
compliance with Atmel Grenoble standard screening.
Applicable Documents 1) MIL-STD-883: Test methods and procedures for electronics.
2) MIL-PRF-38535 appendix A: General specifications for microcircuits.
Requirements
General The microcircuits are in accordance with the applicable documents and as specified
herein.
Design and Construction
Terminal Connections Depending on the package, the terminal connections is shown in Table 1, Table 2 and
Figure 4.
Absolute Maximum Rating
Notes: 1. Functional and tested operating conditions are given in Table 4. Absolute maximum ratings are stress ratings only, and func-
tional operation at the maximums is not guaranteed. Stresses beyond those listed may affect device reliability or cause
permanent damage to the device.
2. Caution: VIN must not exceed OVDD or L2OVDD by more than 0.3V at any time including during power-on reset.
3. Caution: L2OVDD/OVDD must not exceed VDD/AVDD/L2AVDD by more than 1.6V during normal operation; this limit may be
exceeded for a maximum of 20 ms during power-on reset and power-down sequences.
4. Caution: VDD/AVDD/L2AVDD must not exceed L2OVDD/OVDD by more than 0.4V during normal operation; this limit may be
exceeded for a maximum of 20 ms during power-on reset and power-down sequences.
5. VIN may overshoot/undershoot to a voltage and for a maximum duration as shown in Figure 2.
Table 3. Absolute Maximum Ratings(1)
Characteristic Symbol Maximum Value Unit
Core supply voltage(4) VDD -0.3 to 2.5 V
PLL supply voltage(4) AVDD -0.3 to 2.5 V
L2 DLL supply voltage(4) L2AVDD -0.3 to 2.5 V
Processor bus supply voltage(3) OVDD -0.3 to 3.6 V
L2 bus supply voltage(3) L2OVDD -0.3 to 3.6 V
Input voltage Processor bus(2)(5) Vin -0.3 to OVDD +0.3V V
L2 Bus(2)(5) Vin -0.3 to L2OVDD +0.3V V
JTAG Signals Vin -0.3 to 3.6 V
Storage temperature range Tstg -55to150 °C
15
PC755B/745B
2138A–HIREL–05/02
Figure 5 shows the allowable undershoot and overshoot voltage on the PC755B and
PC745B.
Figure 5. Overshoot/Undershoot Voltage
The PC755B provides several I/O voltages to support both compatibility with existing
systems and migration to future systems. The PC755B core voltage must always be
provided at nominal 2.0V (see Table 5 for actual recommended core voltage). Voltage to
the L2 I/Os and Processor Interface I/Os are provided through separate sets of supply
pins and may be provided at the voltages shown in Table 4. The input voltage threshold
for each bus is selected by sampling the state of the voltage select pins BVSEL and
L2VSEL during operation. These signals must remain stable during part operation and
cannot change. The output voltage will swing from GND to the maximum voltage applied
to the OVDD or L2OVDD power pins.
Table 4 describes the input threshold voltage setting.
Notes: 1. The input threshold settings above are different for all revisions prior to Rev 2.8 (Rev E). For more information, contact your
local Atmel sales office.
2. Caution: The input threshold selection must agree with the OVDD/L2OVDD voltages supplied.
(L2) OVDD +20%
(L2) OVDD +5%
(L2) OVDD
Gnd - 1.0V
Gnd - 0.3V
Gnd
VIH
Not to exceed 10%
of tSYSCLK
VIL
Table 4. Input Threshold Voltage Setting
Part Revision BVSEL Signal L2VSEL Signal Processor Bus Interface Voltage L2 Bus Interface Voltage
E 0 0 Not Available Not Available
0 1 Not Available 2.5V/3.3V
1 0 2.5V/3.3V Not Available
1 1 2.5V/3.3V 2.5V/3.3V
16 PC755B/745B 2138A–HIREL–05/02
Notes: 1. These are the recommended and tested operating conditions. Proper device operation outside of these conditions is not
guaranteed.
2. Revisions prior to Rev. 2.8 (Rev. E) offered different I/O voltage support.
3. 2.0V nominal.
4. 2.5V nominal.
5. 3.3V nominal.
Thermal Characteristics
Package Characteristics Table 6 provides the package thermal characteristics for the PC755B.
Notes: 1. Junction temperature is a function of on-chip power dissipation, package thermal resistance, mounting site (board) tempera-
ture, ambient temperature, air flow, power dissipation of other components on the board, and board thermal resistance.
2. Per SEMI G38-87 and JEDEC JESD51-2 with the single layer board horizontal.
3. Per JEDEC JESD51-6 with the board horizontal.
Table 5. Recommended Operating Conditions(1)
Recommended Value
Unit300 MHz, 350 MHz 400 MHz
Characteristic Symbol Min Max Min Max
Core supply voltage(3) VDD 1.80 2.10 1.90 2.10 V
PLL supply voltage(3) AVDD 1.80 2.10 1.90 2.10 V
L2 DLL supply voltage(3) L2AVDD 1.80 2.10 1.90 2.10 V
Processor bus supply
voltage(2)(4)(5) BVSEL = 1 OVDD 2.375 2.625 2.375 2.625 V
3.135 3.465 3.135 3.465 V
L2 bus supply voltage(2)(4)(5) L2VSEL = 1 L2OVDD 2.375 2.625 2.375 2.625 V
3.135 3.465 3.135 3.465 V
Input voltage Processor bus Vin GND OVDD GND OVDD V
L2 Bus Vin GND L2OVDD GND L2OVDD V
JTAG Signals Vin GND OVDD GND OVDD V
Die-junction temperature Military temperature range Tj-55 125 -55 125 °C
Industrial temperature Tj-40 40
Table 6. Package Thermal Characteristics
Characteristic Symbol
Value
Unit
PC755
CBGA PC755
PBGA PC745
PBGA
Junction-to-ambient thermal resistance, natural convection(1)(2) RθJA 24 31 34 °C/W
Junction-to-ambient thermal resistance, natural convection, four-layer
(2s2p) board(1)(3) RθJMA 17 25 26 °C/W
Junction-to-ambient thermal resistance, 200 ft./min. airflow, single-layer
(1s) board(1)(3) RθJMA 18 25 27 °C/W
Junction-to-ambient thermal resistance, 200 ft./min. airflow, four-layer
(2s2p) board(1)(3) RθJMA 14 21 22 °C/W
Junction-to-board thermal resistance(4) RθJB 81717°C/W
Junction-to-case thermal resistance(5) RθJC <0.1 <0.1 <0.1 °C/W
17
PC755B/745B
2138A–HIREL–05/02
4. Thermal resistance between the die and the printed circuit board per JEDEC JESD51-8. Board temperature is measured on
the top surface of the board near the package.
5. Thermal resistance between the die and the case top surface as measured by the cold plate method (MIL SPEC-883
Method 1012.1) with the calculated case temperature. The actual value of RÉýJC for the part is less than 0.1°C/W.
Note: Refer to Section “Thermal Management Information“ page 18 for more details about thermal management.
The board designer can choose between several types of heat sinks to place on the
PC755B. There are several commercially-available heat sinks for the PC755B provided
by the following vendors:
For the exposed-die packaging technology, shown in Table 5, the intrinsic conduction
thermal resistance paths are as follows:
The die junction-to-case (or top-of-die for exposed silicon) thermal resistance
The die junction-to-ball thermal resistance
Figure 6 depicts the primary heat transfer path for a package with an attached heat sink
mounted to a printed-circuit board.
Heat generated on the active side of the chip is conducted through the silicon, then
through the heat sink attach material (or thermal interface material), and finally to the
heat sink where it is removed by forced-air convection.
Since the silicon thermal resistance is quite small, for a first-order analysis, the tempera-
ture drop in the silicon may be neglected. Thus, the heat sink attach material and the
heat sink conduction/convective thermal resistances are the dominant terms.
Figure 6. C4 Package with Head Sink Mounted to a Printed-circuit Board
Note the internal versus external package resistance.
External Resistance
External Resistance
Internal Resistance
Radiation Convection
Radiation Convection
Heat Sink
Printed ± Circuit Board
Thermal Interface Material
Package/Leads
Die Junction
Die/Package
18 PC755B/745B 2138A–HIREL–05/02
Thermal Management
Assistance The PC755B incorporates a thermal management assist unit (TAU) composed of a ther-
mal sensor, digital-to-analog converter, comparator, control logic, and dedicated
special-purpose registers (SPRs). Specifications for the thermal sensor portion of the
TAU are found in Table 7. More information on the use of this feature is given in the
Motorola PC755B RISC Microprocessor User’s manual.
Notes: 1. The temperature is the junction temperature of the die. The thermal assist unit’s raw
output does not indicate an absolute temperature, but must be interpreted by soft-
ware to derive the absolute junction temperature. For information about the use and
calibration of the TAU, see Motorola Application Note AN1800/D, “Programming the
Thermal Assist Unit in the PC750 Microprocessor”.
2. The comparator settling time value must be converted into the number of CPU clocks
that need to be written into the THRM3 SPR.
3. Guaranteed by design and characterization.
Thermal Management
Information This section provides thermal management information for the ceramic ball grid array
(BGA) package for air-cooled applications. Proper thermal control design is primarily
dependent upon the system-level design-the heat sink, airflow and thermal interface
material. To reduce the die-junction temperature, heat sinks may be attached to the
package by several methods-adhesive, spring clip to holes in the printed-circuit board or
package, and mounting clip and screw assembly; see Figure 7. This spring force should
not exceed 5.5 pounds of force.
Figure 7. Package Exploded Cross-Sectional View with Several Heat Sink Options
Table 7. Thermal Sensor Specifications at Recommended Operating Conditions
(see Table 5)
Characteristic Min Max Unit
Temperature range(1) 0127°C
Comparator settling time(2)(3) 20 s
Resolution(3) 4–°C
Accuracy(3) -12 +12 °C
Adhesive
or
Thermal Interface Material
Heat Sink
Heat Sink
Clip
Printed ± Circuit Board Option
BGA Package
19
PC755B/745B
2138A–HIREL–05/02
Ultimately, the final selection of an appropriate heat sink depends on many factors, such
as thermal performance at a given air velocity, spatial volume, mass, attachment
method, assembly, and cost.
Adhesives and Thermal
Interface Materials Figure 8. Thermal Performance of Select Thermal Interface Material
A thermal interface material is recommended at the package lid-to-heat sink interface to
minimize the thermal contact resistance. For those applications where the heat sink is
attached by spring clip mechanism, Figure 8 shows the thermal performance of three
thin-sheet thermal-interface materials (silicone, graphite/oil, floroether oil), a bare joint,
and a joint with thermal grease as a function of contact pressure. As shown, the perfor-
mance of these thermal interface materials improves with increasing contact pressure.
The use of thermal grease significantly reduces the interface thermal resistance. That is,
the bare joint results in a thermal resistance approximately 7 times greater than the ther-
mal grease joint.
Heat sinks are attached to the package by means of a spring clip to holes in the printed-
circuit board (see Figure 7). This spring force should not exceed 5.5 pounds of force.
Therefore, the synthetic grease offers the best thermal performance, considering the
low interface pressure.
The board designer can choose between several types of thermal interface. Heat sink
adhesive materials should be selected based upon high conductivity, yet adequate
mechanical strength to meet equipment shock/vibration requirements.
Heat Sink Selection Example For preliminary heat sink sizing, the die-junction temperature can be expressed as
follows:
Tj=T
a+T
r+(θjc +θint +θsa)*P
d
Where:
Tjis the die-junction temperature
0
0.5
1
1.5
2Silicone Sheet (0.006 inch)
Bare Joint
Floroether Oil Sheet (0.007 inch)
Graphite/Oil Sheet (0.005 inch)
Synthetic Grease
Contact Pressure (psi)
Specific
Thermal
Resistance
(Kin2/W)
01020304050607080
20 PC755B/745B 2138A–HIREL–05/02
Tais the inlet cabinet ambient temperature
Tris the air temperature rise within the computer cabinet
θjc is the junction-to-case thermal resistance
θint is the adhesive or interface material thermal resistance
θsa is the heat sink base-to-ambient thermal resistance
Pdis the power dissipated by the device
During operation the die-junction temperatures (Tj) should be maintained less than the
value specified in Table 5. The temperature of the air cooling the component greatly
depends upon the ambient inlet air temperature and the air temperature rise within the
electronic cabinet. An electronic cabinet inlet-air temperature (Ta) may range from 30 to
40°C. The air temperature rise within a cabinet (Tr) may be in the range of 5 to 10°C.
The thermal resistance of the thermal interface material (θint) is typically about 1°C/W.
AssumingaT
aof 30°C, a Trof5
oC, a CBGA package θjc = 0.03, and a power consump-
tion (Pd) of 5.0 watts, the following expression for Tjis obtained:
Die-junction temperature: Tj=30°C+5°C+(0.03°C/W + 1.0°C/W + θsa)*5.0W
For a Thermalloy heat sink #2328B, the heat sink-to-ambient thermal resistance (θsa)
versus airflow velocity is shown in Figure 9.
Figure 9. Thermalloy #2328B Heat Sink-to-Ambient Thermal Resistance Versus Air-
flow Velocity
Assuming an air velocity of 0.5 m/s, we have an effective Rsa of 7°C/W, thus
Tj=30°C+ 5°C+ (0.03°C/W +1.0°C/W + 7°C/W) * 5.0 W,
resulting in a die-junction temperature of approximately 81°C which is well within the
maximum operating temperature of the component.
1
3
5
7
8
0 0.5 1 1.5 2 2.5 3 3.5
Thermalloy #2328B Pin±fin Heat Sink
Approach Air Velocity (m/s)
(25 x28 x 15 mm)
2
4
6
Heat Sink Thermal Resistance °C/W)
21
PC755B/745B
2138A–HIREL–05/02
Other heat sinks offered by Chip Coolers, IERC, Thermalloy, Wakefield Engineering,
and Aavid Engineering offer different heat sink-to-ambient thermal resistances, and may
or may not need air flow.
Though the die junction-to-ambient and the heat sink-to-ambient thermal resistances
are a common figure-of-merit used for comparing the thermal performance of various
microelectronic packaging technologies, one should exercise caution when only using
this metric in determining thermal management because no single parameter can ade-
quately describe three-dimensional heat flow. The final die-junction operating
temperature, is not only a function of the component-level thermal resistance, but the
system-level design and its operating conditions. In addition to the component’s power
consumption, a number of factors affect the final operating die-junction temperature—
airflow, board population (local heat flux of adjacent components), heat sink efficiency,
heat sink attach, heat sink placement, next-level interconnect technology, system air
temperature rise, altitude, etc.
Due to the complexity and the many variations of system-level boundary conditions for
today’s microelectronic equipment, the combined effects of the heat transfer mecha-
nisms (radiation, convection and conduction) may vary widely. For these reasons, we
recommend using conjugate heat transfer models for the board, as well as, system-level
designs. To expedite system-level thermal analysis, several “compact” thermal-package
models are available within FLOTHERM®. These are available upon request.
Power consideration
Power management The PC755B provides four power modes, selectable by setting the appropriate control
bits in the MSR and HIDO registers. The four power modes are as follows:
Full-power: This is the default power state of the PC755B. The PC755B is fully
powered and the internal functional units operate at the full processor clock speed.
If the dynamic power management mode is enabled, functional units that are idle
will automatically enter a low-power state without affecting performance, software
execution, or external hardware.
Doze: All the functional units of the PC755B are disabled except for the time
base/decrementer registers and the bus snooping logic. When the processor is in
doze mode, an external asynchronous interrupt, a system management interrupt, a
decrementer exception, a hard or soft reset, or machine check brings the PC755B
into the full-power state. The PC755B in doze mode maintains the PLL in a fully
powered state and locked to the system external clock input (SYSCLK) so a
transition to the full-power state takes only a few processor clock cycles.
Nap: The nap mode further reduces power consumption by disabling bus snooping,
leaving only the time base register and the PLL in a powered state. The PC755B
returns to the full-power state upon receipt of an external asynchronous interrupt, a
system management interrupt, a decrementer exception, a hard or soft reset, or a
machine check input (MCP). A return to full-power state from a nap state takes only
a few processor clock cycles. When the processor is in nap mode, if QACK is
negated, the processor is put in doze mode to support snooping.
Sleep: Sleep mode minimizes power consumption by disabling all internal functional
units, after which external system logic may disable the PPL and SUSCLK.
Returning the PC755B to the full-power state requires the enabling of the PPL and
SYSCLK, followed by the assertion of an external asynchronous interrupt, a system
management interrupt, a hard or soft reset, or a machine check input (MCP) signal
after the time required to relock the PPL.
22 PC755B/745B 2138A–HIREL–05/02
Power Dissipation
Notes: 1. These values apply for all valid processor bus and L2 bus ratios. The values do not
include I/O Supply Power (OVDD and L2OVDD)orPLL/DLLsupplypower(AV
DD and
L2AVDD). OVDD and L2OVDD power is system dependent, but is typically <10% of VDD
power. Worst case power consumption for AVDD = 15 mW and L2AVDD =15mW.
2. Maximum power is measured at 105°C and VDD = 2.0V while running an entirely
cache-resident, contrived sequence of instructions which keep the execution units
maximally busy.
3. Typical power is an average value measured at 65°CandV
DD = 2.0V in a system
executing typical applications and benchmark sequences.
Table 8. Power Consumption for PC755
Processor (CPU) Frequency
Unit300 MHz 350 MHz 400 MHz
Full-on Mode
Typical(1)(3) 3.1 3.6 4 W
Maximum(1)(2) 4.5 5.3 6 W
Doze Mode
Maximum(1)(2) 1.8 2 2.3 W
Nap Mode
Maximum(1)(2) 111W
Sleep Mode
Maximum(1)(2) 460 470 470 mW
Sleep Mode-PLL and DLL Disabled
Typical(1)(3) 340 340 340 mW
Maximum(1)(2) 430 430 430 mW
23
PC755B/745B
2138A–HIREL–05/02
Electrical
Characteristics
Static Characteristics
Notes: 1. Nominal voltages; See Table 5 for recommended operating conditions.
2. For processor bus signals, the reference is OVDD while L2OVDD is the reference for the L2 bus signals.
3. Excludes test signals (LSSD_MODE, L1_TSTCLK, L2_TSTCLK) and IEEE 1149.1 boundary scan (JTAG) signals.
4. Capacitance is periodically sampled rather than 100% tested.
5. The leakage is measured for nominal OVDD and VDD,orbothOV
DD and VDD must vary in the same direction (for example,
both OVDD and VDD vary by either +5% or -5%).
Dynamic Characteristics After fabrication, parts are sorted by maximum processor core frequency as shown in
the “Clock AC Specifications” Section on page 24 and tested for conformance to the AC
specifications for that frequency. These specifications are for 275, 300, 333 MHz pro-
cessor core frequencies. The processor core frequency is determined by the bus
(SYSCLK) frequency and the settings of the PLL_CFG[0-3] signals. Parts are sold by
maximum processor core frequency.
Table 9. DC Electrical Specifications at Recommended Operating Conditions (see Table 5)
Characteristic Nominal bus
Voltage(1) Symbol Min Max Unit
Input high voltage (all inputs except SYSLCK)(2)(3) 2.5 VIH 1.6 (L2)OVDD +0.3 V
3.3 VIH 2(L2)OV
DD +0.3 V
Input low voltage (all inputs except SYSLCK)(2) 2.5 VIL -0.3 0.6 V
3.3 VIL -0.3 0.8 V
SYSCLK input high voltage 2.5 KVIH 1.8 OVDD +0.3 V
3.3 KVIH 2.4 OVDD +0.3 V
SYSCLK input low voltage 2.5 KVIL -0.3 0.4 V
3.3 KVIL -0.3 0.4 V
Input leakage current, (2)(3)
VIN = L2OVDD/OVDD
Iin –10µA
Hi-Z (off-state) leakage current, (2)(3)(5)
VIN = L2OVDD/OVDD
ITSI –10µA
Output high voltage, IOH =-6mA 2.5 V
OH 1.7 V
3.3 VOH 2.4 V
Output low voltage, IOL =6mA 2.5 V
OL –0.45V
3.3 VOL –0.4V
Capacitance, VIN =0V,f=1MHz(3)(4) Cin –5pF
24 PC755B/745B 2138A–HIREL–05/02
Clock AC Specifications Table 10 provides the clock AC timing specifications as defined in Table 3.
Notes: 1. Caution: The SYSCLK frequency and PLL_CFG[0-3] settings must be chosen such that the resulting SYSCLK (bus) fre-
quency, CPU (core) frequency, and PLL (VCO) frequency do not exceed their respective maximum or minimum operating
frequencies. Refer to the PLL_CFG[0-3] signal description in Table 17,” for valid PLL_CFG[0-3] settings
2. Rise and fall times measurements are now specified in terms of slew rates, rather than time to account for selectable I/O bus
interface levels. The minimum slew rate of 1v/ns is equivalent to a 2ns maximum rise/fall time measured at 0.4V and 2.4V or
a rise/fall time of 1ns measured at 0.4V to 1.4V.
3. Timing is guaranteed by design and characterization.
4. This represents total input jitter short term and long term combined and is guaranteed by design.
5. Relock timing is guaranteed by design and characterization. PLL-relock time is the maximum amount of time required for
PLL lock after a stable VDD and SYSCLK are reached during the power-on reset sequence. This specification also applies
when the PLL has been disabled and subsequently re-enabled during sleep mode. Also note that HRESET must be held
asserted for a minimum of 255 bus clocks after the PLL-relock time during the power-on reset sequence.
Figure 10 provides the SYSCLK input timing diagram.
Figure 10. SYSCLK Input Timing Diagram
Table 10. Clock AC Timing Specifications at Recommended Operating Conditions (See Table 5)
Characteristic Symbol
Maximum Processor Core Frequency
Unit300 MHz 350 MHz 400 MHz
Min Max Min Max Min Max
Processor frequency(1) fcore 200 300 200 350 200 400 MHz
VCO frequency(1) fVCO 400 600 400 700 400 800 MHz
SYSCLK frequency(1) fSYSCLK 25 100 25 100 25 100 MHz
SYSCLK cycle time tSYSCLK 10 40 10 40 10 40 ns
SYSCLK rise and fall time(2) tKR &t
KF –2–2–2 ns
tKR &t
KF –1–1–1 ns
SYSCLK duty cycle measured at OVDD/2(3) tKHKL/tSYSCLK 40 60 40 60 40 60 %
SYSCLK jitter(3)(4) 150 150 150 ps
Internal PLL relock time(3)(5) 100 100 100 µs
SYSCLK VMVMVM
KVIH
KVIL
VM = Midpoint Voltage (OVDD/2)
tSYSCLK
tKR tKF
tKHKL
25
PC755B/745B
2138A–HIREL–05/02
Processor Bus AC
Specifications Table 11 provides the processor bus AC timing specifications for the PC755B as defined
in Figure 11 and Figure 13. Timing specifications for the L2 bus are provided in Section
“L2 Clock AC Specifications» page 27.
Notes: 1. All input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input
SYSCLK. All output specifications are measured from the midpoint of the rising edge of SYSCLK to the midpoint of the sig-
nal in question. All output timings assume a purely resistive 50load (See Figure 11). Input and output timings are
measured at the pin; time-of-flight delays must be added for trace lengths, vias, and connectors in the system.
2. THe symbology used for timing specifications herein follows the pattern of t(signal)(state)(reference)(state) for inputs and
t(reference)(state)(signal)(state) for outputs. For example, tIVKH symbolizes the time input signals (I) reach the valid state (V) relative
to the SYSCLK reference (K) going to the high (H) state or input setup time. And tKHOV symbolizes the time from SYSCLK(K)
going highs) until outputs (O) are valid (V) or output valid time. Input hold time can be read as the time that the input signal
(I) went invalid (X) with respect to the rising clock edge (KH) -note the position of the reference and its state for inputs and
output hold time can be read as the time from the rising edge (KH) until the output went invalid (OX). For additional explana-
tion of AC timing specifications in Motorola PowerPC microprocessors, see the application note “Understanding AC Timing
Specifications for PowerPC Microprocessors.”
3. The setup and hold time is with respect to the rising edge of HRESET (see Figure 11).
4. This specification is for configuration mode select only. Also note that the HRESET must be held asserted for a minimum of
255 bus clocks after the PLL re-lock time during the power-on reset sequence.
5. tSYSCLK is the period of the external clock (SYSCLK) in nanoseconds (ns). The numbers given in the table must be multiplied
by the period of SYSCLK to compute the actual time duration (in nanoseconds) of the parameter in question.
6. Mode select signals are BVSEL, L2VSEL, PLL_CFG[0-3]
7. Guaranteed by design and characterization.
8. Bus mode select pins must remain stable during operation. Changing the logic states of BVSEL or L2VSEL during operation
will cause the bus mode voltage selection to change. Changing the logic states of the PLL_CFG pins during operation will
cause the PLL division ratio selection to change. Both of these conditions are considered outside the specification and are
not supported. Once HRESET is negated the states of the bus mode selection pins must remain stable.
Figure 11 provides the mode select input timing diagram for the PC755B.
Figure 11. Mode Input Timing Diagram
Table 11. Processor Bus Mode Selection AC Timing Specifications(1)
At VDD =AVDD =2.0V100mV;-55Tj+125°C, OVDD = 3.3V 165 mV and OVDD =1.8V±100 mV and OVDD =2.0V100mV
Parameter
Symbols(2) All Speed Grades
UnitMin Max
Mode select input setup to HRESET(3)(4)(5)(6)(7) tMVRH 8–t
SYSCLk
HRESET to mode select input hold(3)(4)(6)(7)(8) tMXRH 0–ns
HRESET
MODE SIGNALS
VM = Midpoint Voltage (OVDD/2)
VM
tMVRH tMXRH
26 PC755B/745B 2138A–HIREL–05/02
Figure 12 provides the AC test load for the PC755B.
Figure 12. AC Test Load
Notes: 1. Revisions prior to Rev 2.8 (Rev E) were limited in performance and did not conform to this specification. Contact your local
Motorola sales office for more information.
2. Guaranteed by design and characterization.
3. tSYSCLK is the period of the external clock (SYSCLK) in nanoseconds (ns). The numbers given in the table must be multiplied
by the period of SYSCLK to compute the actual time duration (in ns) of the parameter in question.
4. Per the 60x bus protocol, TS, ABB and DBB are driven only by the currently active bus master. They are asserted low then
precharged high before returning to high-Z as shown in Figure 6. The nominal precharge width for TS, ABB or DBB is 0.5 x
tSYSCLK, i.e. less than the minimum tSYSCLK period, to ensure that another master asserting TS, ABB,orDBBon the following
clock will not contend with the precharge. Output valid and output hold timing is tested for the signal asserted. Output valid
time is tested for precharge.The high-Z behavior is guaranteed by design.
5. Per the 60x bus protocol, ARTRY can be driven by multiple bus masters through the clock period immediately following
AACK. Bus contention is not an issue since any master asserting ARTRY will be driving it low. Any master asserting it low in
the first clock following AACK will then go to high-Z for one clock before precharging it high during the second cycle after the
assertion of AACK. The nominal precharge width for ARTRY is 1.0 tSYSCLK; i.e., it should be high-Z as shown in Figure 6
before the first opportunity for another master to assert ARTRY. Output valid and output hold timing is tested for the signal
asserted. Output valid time is tested for precharge. The high-Z and precharge behavior is guaranteed by design.
OVDD/2
OUTPUT Z0 = 50
RL = 50
Table 12. Processor Bus AC Timing Specifications(1) at Recommended Operating Conditions
Parameter Symbols
All Speed Grades Unit
Min Max
Setup Times: All Inputs tIVKH 2.5 ns
Input Hold Times: TLBISYNC,MCP,SMI tIXKH 0.6 ns
Input Hold Times: All Inputs, except TLBISYNC,MCP,SMI tIXKH 0.2 ns
Valid Times: All Outputs tKHOV –4.1ns
Output Hold Times: All Outputs tKHOX 1–ns
SYSCLK to Output Enable(2) tKHOE 0.5 ns
SYSCLK to Output High Impedance (all except ABB, ARTRY,DBB)(2) tKHOZ –6ns
SYSCLK to ABB,DBBHigh Impedance After Precharge(2)(3)(4) tKHABPZ –1t
SYSCLK
Maximum Delay to ARTRY Precharge(2)(3)(5) tKHARP –1t
SYSCLK
SYSCLK to ARTRY High Impedance After Precharge(2)(3)(5) tKHARPZ –2t
SYSCLK
27
PC755B/745B
2138A–HIREL–05/02
Figure 13 provides the input/output timing diagram for the PC755B.
Figure 13. Input/Output Timing Diagram
L2 Clock AC Specifications The L2CLK frequency is programmed by the L2 Configuration Register (L2CR[4:6])
core-to-L2 divisor ratio. See Table 13 for example core and L2 frequencies at various
divisors. Table 13 provides the potential range of L2CLK output AC timing specifications
as defined in Figure 14.
The minimum L2CLK frequency of Table 13 is specified by the maximum delay of the
internal DLL. The variable-tap DLL introduces up to a full clock period delay in the
L2CLKOUTA, L2CLKOUTB, and L2SYNC_OUT signals so that the returning
L2SYNC_IN signal is phase aligned with the next core clock (divided by the L2 divisor
ratio). Do not choose a core-to-L2 divisor which results in an L2 frequency below this
minimum, or the L2CLKOUT signals provided for SRAM clocking will not be phase
aligned with the PC755B core clock at the SRAMs.
The maximum L2CLK frequency shown in Table 13 is the core frequency divided by
one. Very few L2 SRAM designs will be able to operate in this mode. Most designs will
select a greater core-to-L2 divisor to provide a longer L2CLK period for read and write
access to the L2 SRAMs. The maximum L2CLK frequency for any application of the
PC755B will be a function of the AC timings of the PC755B, the AC timings for the
SRAM, bus loading, and printed circuit board trace length.
Motorola is similarly limited by system constraints and cannot perform tests of the L2
interface on a socketed part on a functional tester at the maximum frequencies of Table
13. Therefore functional operation and AC timing information are tested at core-to-L2
divisors of 2 or greater. Functionality of core-to-L2 divisors of 1 or 1.5 is verified at less
than maximum rated frequencies.
SYSCLK
ALL INPUTS
VM
VM = Midpoint Voltage (OVDD/2 or Vin/2)
ALL OUTPUT S
VM
(Except TS, ABB,
ARTRY, DBB)
TS,ABB,DBB
ARTRY
VM
tIVKH tIXKH
tKHOE
tKHOV tKHOX
tKHABPZ
tKHOV
tKHOX
tKHOZ
tKHARPZ
tKHOV
tKHOX
tKHARP
tKHOV
tKHOZ
28 PC755B/745B 2138A–HIREL–05/02
L2 input and output signals are latched or enabled respectively by the internal L2CLK
(which is SYSCLK multiplied up to the core frequency and divided down to the L2CLK
frequency). In other words, the AC timings of Table 14 and Table 15 are entirely inde-
pendent of L2SYNC_IN. In a closed loop system, where L2SYNC_IN is driven through
the board trace by L2SYNC_OUT, L2SYNC_IN only controls the output phase of
L2CLKOUTA and L2CLKOUTB which are used to latch or enable data at the SRAMs.
However, since in a closed loop system L2SYNC_IN is held in phase alignment with the
internal L2CLK, the signals of Table 14 and Table 15 are referenced to this signal rather
than the not-externally-visible internal L2CLK. During manufacturing test, these times
are actually measured relative to SYSCLK.
The L2SYNC_OUT signal is intended to be routed halfway out to the SRAMs and then
returned to the L2SYNC_IN input of the PC755B to synchronize L2CLKOUT at the
SRAM with the processor’s internal clock. L2CLKOUT at the SRAM can be offset for-
ward or backward in time by shortening or lengthening the routing of L2SYNC_OUT to
L2SYNC_IN. See Motorola Application Note AN179/D “PowerPCBackside L2 Timing
Analysis for the PCB Design Engineer.
The L2CLKOUTA and L2CLKOUTB signals should not have more than two loads.
Notes: 1. L2CLK outputs are L2CLK_OUTA, L2CLK_OUTB, L2CLK_OUT and L2SYNC_OUT pins. The L2CLK frequency to core fre-
quency settings must be chosen so that the resulting L2CLK frequency and core frequency do not exceed their respective
maximum or minimum operating frequencies. The maximum L2LCK frequency will be system dependent. L2CLK_OUTA
and L2CLK_OUTB must have equal loading.
2. The nominal duty cycle of the L2CLK is 50% measured at midpoint voltage.
3. The DLL re-lock time is specified in terms of L2CLKs. The number in the table must be multiplied by the period of L2CLK to
compute the actual time duration in nanoseconds. Re-lock timing is guaranteed by design and characterization.
4. The L2CR[L2SL] bit should be set for L2CLK frequencies less than 110 MHz. This adds more delay to each tap of the DLL.
5. Allowable skew between L2SYNC_OUT and L2SYNC_IN.
6. This output jitter number represents the maximum delay of one tap forward or one tap back from the current DLL tap as the
phase comparator seeks to minimize the phase difference between L2SYNC_IN and the internal L2CLK. This number must
be comprehended in the L2 timing analysis. The input jitter on SYSCLK affects L2CLKOUT and the L2 address/data/control
signals equally and therefore is already comprehended in the AC timing and does not have to be considered in the L2 timing
analysis.
7. Guaranteed by design and characterization.
Table 13. L2CLK Output AC Timing Specification. At VDD =AVDD = 2.0V 100 mV; -55 Tj+125°C, OVDD =3.3V
165 mV and OVDD =1.8V100mVandOV
DD = 2.0V 100 mV
Parameter Symbols
All Speed Grades
UnitMin Max
L2CLK frequency(1)(4) fL2CLK 80 400 MHz
L2CLK cycle time tL2CLK 2.5 12.5 ns
L2CLK duty cycle(2)(7) tCHCL/tL2CLK 50 %
Internal DLL-relock time(3)(7) 640 - L2CLK
DLL capture window(5)(7) 010 ns
L2CLKOUT output-to-output skew(6)(7) tL2CSKW 50 ps
L2CLKOUT output jitter(6)(7) 150 ps
29
PC755B/745B
2138A–HIREL–05/02
The L2CLK_OUT timing diagram is shown in Figure 14.
Figure 14. L2CLK_OUT Output Timing Diagram
L2 Bus Input AC Specifications Table 14 provides the L2 bus interface AC timing specifications for the PC755B as
defined in Figure 15 and Figure 16 for the loading conditions described in Figure 17.
VM = Midpoint Voltage (L2OVdd/2)
L2CLK_OUTA
L2CLK_OUTB
L2 Differential Clock Mode
L2 Single-Ended Clock Mode
L2SYNC_OUT
L2CLK_OUTAVM
tL2CR tL2CF
VM
VM
VM
L2CLK_OUTB
VMVM
VM
VM
VM
L2SYNC_OUT
VM VM VM
VM VM VM
VM
VM
tL2CSKW
tL2CLK
tL2CLK
t
CHCL
t
CHCL
Table 14. L2 Bus Interface AC Timing Specifications at Recommended Operating Conditions
Parameter Symbol
All Speed Grades
UnitMin Max
L2SYNC_IN rise and Fall Time(1) tL2CR &t
L2CF –1.0ns
Setup Times: Data and Parity(2) tDVL2CH 1.2 - ns
Input Hold Times: Data and Parity(2) tDXL2CH 0-ns
Valid Times: (3)(4)
All Outputs when L2CR[14-15] = 00
All Outputs when L2CR[14-15] = 01
All Outputs when L2CR[14-15] = 10
All Outputs when L2CR[14-15] = 11
tL2CHOV -
-
-
-
3.1
3.2
3.3
3.7
ns
Output Hold Times: (3)
All Outputs when L2CR[14-15] = 00
All Outputs when L2CR[14-15] = 01
All Outputs when L2CR[14-15] = 10
All Outputs when L2CR[14-15] = 11
tL2CHOX 0.5
0.7
0.9
1.1
-
-
-
-
ns
L2SYNC_IN to High Impedance:(3)(5)
All Outputs when L2CR[14-15] = 00
All Outputs when L2CR[14-15] = 01
All Outputs when L2CR[14-15] = 10
All Outputs when L2CR[14-15] = 11
tL2CHOZ -
-
-
-
2.4
2.6
2.8
3.0
ns
30 PC755B/745B 2138A–HIREL–05/02
Notes: 1. Rise and fall times for the L2SYNC_IN input are measured from 20% to 80% of L2OVDD.
2. All input specifications are measured from the midpoint of the signal in question to the midpoint voltage of the rising edge of
the input L2SYNC_IN (see Figure 8). Input timings are measured at the pins.
3. All output specifications are measured from the midpoint voltage of the rising edge of L2SYNC_IN to the midpoint of the sig-
nal in question. The output timings are measured at the pins. All output timings assume a purely resistive 50load (See
Figure 10).
4. The outputs are valid for both single-ended and differential L2CLK modes. For pipelined registered synchronous Bur-
stRAMs, L2CR[14-15] = 01 or 10 is recommended. For pipelined late write synchronous BurstRAMs, L2CR[14-15] = 11 is
recommended.
5. Guaranteed by design and characterization.
6. Revisions prior to Rev 2.8 (Rev E) were limited in performance.and did not conform to this specification. Contact your local
Atmel sales office for more information.
Figure 15 shows the L2 bus input timing diagrams for the PC755B.
Figure 15. L2 Bus Input Timing Diagrams
Figure 16 shows the L2 bus output timing diagrams for the PC755B.
Figure 16. L2 Bus Output Timing Diagrams
Figure 17 provides the AC test load for L2 interface of the PC755B.
Figure 17. AC Test Load for the L2 Interface
L2SYNC_IN
L2 DATA AND DATA
VM
VM = Midpoint Voltage (L2OVDD/2)
tDVL2CH tDXL2CH
tL2CR tL2CF
PARITY INPUTS
L2SYNC_IN
ALL OUTPUT S
VM
VM = Midpoint Voltage (L2OVDD/2)
VM
L2DATA BUS
tL2CHOX
tL2CHOZ
tL2CHOV
OUTPUT L2OVdd/2
RL = 50
Z0 = 50
31
PC755B/745B
2138A–HIREL–05/02
IEEE 1149.1 AC Timing
Specifications
Timing Specifications Table 15 provides the IEEE 1149.1 (JTAG) AC timing specifications as defined in Figure
18, Figure 19, Figure 20, and Figure 21.
Notes: 1. All outputs are measured from the midpoint voltage of the falling/rising edge of TCLK to the midpoint of the signal in ques-
tion. The output timings are measured at the pins. All output timings assume a purely resistive 50load (See Figure 18).
Time-of-flight delays must be added for trace lengths, vias, and connectors in the system.
2. TRST is an asynchronous level sensitive signal. The setup time is for test purposes only.
3. Non-JTAG signal input timing with respect to TCK.
4. Non-JTAG signal output timing with respect to TCK.
5. Guaranteed by design and characterization.
Figure 18 provides the AC test load for TDO and the boundary-scan outputs of the
PC755B.
Figure 18. ALTERNATE AC Test Load for the JTAG Interface
Table 15. JTAG AC Timing Specifications (Independent of SYSCLK)(1)
Parameter Symbol Min Max Unit
TCK Frequency of operation fTCLK 016MHz
TCK Cycle time fTCLK 62.5 - ns
TCK Clock pulse width measured at 1.4V tJHJL 31 - ns
TCK Rise and fall times tJR &t
JF 02ns
TRST Assert time(2) tTRST 25 - ns
Input Setup Times:(3)
Boundary-scan data
TMS, TDI tDVJH
tIVJH
4
0-
-
ns
Input Hold Times:(3)
Boundary-scan data
TMS, TDI tDXJH
tIXJH
15
12 -
-
ns
Valid Times:(4)
Boundary-scan data
TDO tJLDV
tJLOV
-
-4
4
ns
Output Hold Times:(4)
Boundary-scan data
TDO tJLDV
tJLOV
25
12 -
-
ns
TCK to output high impedance:(4)(5)
Boundary-scan data
TDO tJLDZ
tJLOZ
3
319
9
ns
OVDD/2
OUTPUT Z0 = 50
RL = 50
32 PC755B/745B 2138A–HIREL–05/02
Figure 19 provides the JTAG clock input timing diagram.
Figure 19. JTAG Clock Input Timing Diagram
Figure 20 provides the TRST timing diagram.
Figure 20. TRST Timing Diagram
Figure 21 provides the boundary-scan timing diagram.
Figure 21. Boundary-Scan Timing Diagram
TCLK VMVMVM
VM = Midpoint Voltage (OVDD/2)
tTCLK
tJR tJF
tJHJL
TRST tTRST
VM = Midpoint Voltage (OVDD/2)
VM VM
VM
VM
TCK
BOUNDARY
BOUNDARY
BOUNDARY
DATA OUTPUTS
DATA INPUTS
DATA OUTPUTS
VM = Midpoint Voltage (OVDD/2)
tDXJH
tDVJH
tJLDV
t
JLDZ
INPUT
DAT A VALID
OUTPUT
tJLDH
DATA
VALID
OUTPUT DATA VALID
33
PC755B/745B
2138A–HIREL–05/02
Figure 22 provides the test access port timing diagram.
Figure 22. Test Access Port Timing Diagram
JTAG Configuration Signals Boundary scan testing is enabled through the JTAG interface signals. The TRST signal
is optional in the IEEE 1149.1 specification but is provided on all PowerPC implementa-
tions. While it is possible to force the TAP controller to the reset state using only the
TCK and TMS signals, more reliable power-on reset performance will be obtained if the
TRST signal is asserted during power-on reset. Since the JTAG interface is also used
for accessing the common on-chip processor (COP) function of PowerPC processors,
simply tying TRST to HRESET isn’t practical.
The common on-chip processor (COP) function of PowerPC processors allows a remote
computer system (typically a PC with dedicated hardware and debugging software) to
access and control the internal operations of the processor. The COP interface con-
nects primarily through the JTAG port of the processor, with some additional status
monitoring signals. The COP port requires the ability to independently assert HRESET
or TRST in order to fully control the processor. If the target system has independent
reset sources, such as voltage monitors, watchdog timers, power supply failures, or
push-button switches, then the COP reset signals must be merged into these signals
with logic.
The arrangement shown in Figure 23 allows the COP to independently assert HRESET
or TRST, while insuring that the target can drive HRESET as well. The pull-down resis-
tor on TRST ensures that the JTAG scan chain is initialized during power-on if a JTAG
interface cable is not attached; if it is, it is responsible for driving TRST when needed.
TCK
TDI, TMS
TDO
VM = Midpoint Voltage (OVDD/2)
TDO
VM
VM
tIXJH
tIVJH
tJLOV
tJLOZ
INPUT
DATA VALID
OUTPUT
tJLOH
DATA
VALID
OUTPUT DATA VALID
34 PC755B/745B 2138A–HIREL–05/02
Figure 23 shows the suggested TRST connection.
Figure 23. Suggested TRST Connection
The COP header shown in Figure 24 adds many benefits—breakpoints, watchpoints,
register and memory examination/modification and other standard debugger features
are possible through this interface and can be as inexpensive as an unpopulated foot-
print for a header to be added when needed.
System design information
The COP interface has a standard header for connection to the target system, based on
the 0.025” square-post 0.100” centered header assembly (often called a “Berg” header).
The connector typically has pin 14 removed as a connector key.
Figure 24 shows the COP connector diagram.
Figure 24. COP Connector Diagram
There is no standardized way to number the COP header shown in Figure 24; conse-
quently, many different pin numbers have been observed from emulator vendors. Some
are numbered top-to-bottom then left-to-right, while others use left-to-right then top-to-
bottom, while still others number the pins counter clockwise from pin one (as with an IC).
Regardless of the numbering, the signal placement recommended in Figure 24 is com-
montoallknownemulators.
MPC755
HRESET HRESET
TRST
From Target
Board
Sources
COP Header
2 k
QACKQACK
2 k
3
CKSTP_OUT
13 9 5 1
610 2
TOP VIEW
15 11 7
16 12 8 4
KEY
No pin
HRESET
SRESET
TMS
RUN/STOP
TCK
TDI
TDO
Ground
TRST
VDD_SENSE
Pins 10, 12 and 14 are no-con nec ts.
Pin 14 is not physically present
QACK
CHKSTP_IN
35
PC755B/745B
2138A–HIREL–05/02
The QACK signal shown in Table 15 is usually hooked up to the PCI bridge chip in a
system and is an input to the PC755B informing it that it can go into the quiescent state.
Under normal operation this occurs during a low power mode selection. In order for
COP to work the PC755B must see this signal asserted (pulled down). While shown on
the COP header, not all emulator products drive this signal. To preserve correct power
down operation, QACK should be merged so that it also can be driven by the PCI
bridge.
Table 16 shows the pin definitions.
Table 16. COP Pin Definitions
Pins Signal Connection Special Notes
1TDO TDO
2QACK QACK ADD 2K pull-down to ground. Must be merged with on-board QACK,ifany.
3TDI TDI
4TRST TRST ADD 2K pull-down to ground. Must be merged with on-board QACK,ifany.
SeeFigure23.
5 RUN/STOP No connect Used on 604e; leave no-connect for all other processors.
6 VDD_SENSE VDD ADD 2K pull-up to OVDD (for short circuit limiting protection only).
7TCK TCK
8 CKSTP_IN CKSTP_IN Optional. ADD 10K pull-up to OVDD. Used on several emulator products. Useful for
checkstopping the processor from a logic analyzer of other external trigger.
9TMS TMS
10 N/A
11 SRESET SRESET Mergewithon-board SRESET, if any.
12 N/A
13 HRESET HRESET Mergewithon-board HRESET
14 N/A Key location; pin should be removed.
15 CKSTP_OUT CKSTP_OUT ADD 10K pull-up to OVDD.
16 Ground Digital Ground
36 PC755B/745B 2138A–HIREL–05/02
Preparation for Delivery
Packaging Microcircuits are prepared for delivery in accordance with MIL-PRF-38535.
Certificate of Compliance Atmel offers a certificate of compliances with each shipment of parts, affirming the prod-
ucts are in compliance either with MIL-PRF-883 and guarantying the parameters not
tested at temperature extremes for the entire temperature range.
Handling MOS devices must be handled with certain precautions to avoid damage due to accu-
mulation of static charge. Input protection devices have been designed in the chip to
minimize the effect of static buildup. However, the following handling practices are
recommended:
1. Devices should be handled on benches with conductive and grounded surfaces.
2. Ground test equipment, tools and operator.
3. Do not handle devices by the leads.
4. Store devices in conductive foam or carriers.
5. Avoid use of plastic, rubber, or silk in MOS areas.
6. Maintain relative humidity above 50 percent if practical.
7. For CI-CGA packages, use specific tray to take care of the highest height of the
package compared with the normal CBGA.
Package Mechanical
Data The following sections provide the package parameters and mechanical dimensions for
the PC745B, 255 PBGA package as well as the PC755B, 360 CBGA and PBGA pack-
ages. While both the PC755B plastic and the ceramic packages are described here,
both packages are not guaranteed to be available at the same time. All new designs
should allow for either ceramic or plastic BGA packages for this device. For more infor-
mation on designing a common footprint for both plastic and ceramic package types,
please contact your local Motorola sales office.
Parameters for the
PC745B
Package Parameters for the
PC745B PBGA The package parameters are as provided in the following list. The package type is
21 x 21 mm, 255-lead plastic ball grid array (PBGA).
Mechanical Dimensions of the
PC745B PBGA Package Figure 25 provides the mechanical dimensions and bottom surface nomenclature of the
PC745B, 255 PBGA package.
Package outline 21 x 21 mm
Interconnects 255 (16 x 16 ball array 1)
Pitch 1.27 mm (50 mil)
Minimum module height 2.25 mm
Maximum module height 2.80 mm
Ball diameter (typical) 0.75 mm (29.5 mil)
37
PC755B/745B
2138A–HIREL–05/02
Figure 25. Mechanical Dimensions and Bottom Surface Nomenclature of the PC745B PBGA
Parameters for the PC755B
PBGA
Package Parameter for the
PC755B PBGA The package parameters are as provided in the following list. The package type is 25 x
25 mm, 360-lead plastic ball grid array (PBGA).
Package outline 25 x 25 mm
Interconnects 360 (19 x 19 ball array 1)
Pitch 1.27 mm (50 mil)
Minimum module height 2.22 mm
Maximum module height 2.77 mm
Ball diameter 0.75 mm (29.5 mil)
M
NOTES:
1. DIMENSIONING AND TOLERANCING
PER ASME Y14.5M, 1994.
2. DIMENSION S IN MILLIMETERS.
3. TOP SIDE A1 CORNER INDEX IS A
METALIZED FEATURE WITH VARIOUS
SHAPES. BOTTOM SIDE A1 CORNER IS
DESIGNATED WITH A BALL MISSING
FROM THE ARRAY.
4. CAPACITO R PADS MAY BE
UNPOPULATED.
Table 1
Millimeters
DIM Min Max
A2.25 2.80
A1 0.50 0.70
A2 1.00 1.20
b0.60 0.90
D21.00 BSC
E21.00 BSC
e1.27 BSC
0.2
D
2X
A1 CORNER
E
0.2
B
A
AA1
A2
C
0.2 C
BC
255X
e
12345678910111213141516
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
A0.3C
0.15
b
38 PC755B/745B 2138A–HIREL–05/02
Mechanical Dimensions of the
PC755B PBGA Figure 26 provides the mechanical dimensions and bottom surface nomenclature of the
PC755B, 360 PBGA package.
Figure 26. Mechanical Dimensions and Bottom Surface Nomenclature of the PC755B PBGA
C
NOTES:
A. DIMENSIONING AND T OLERANCING PER
ASME Y14.5M, 1994.
B. DIMENSIONS IN MILLIMETERS.
C. TOP SIDE A1 CORNER INDEX IS A
METALIZED FEA TURE WITH VARIOUS
SHAPES. BOTT OM SIDE A1 CORNER IS
DESIGNATED WITH A BALL MISSING
FROM THE ARRA Y.
0.2
BC
360X
D
2X
A1 CORNER
E
e
0.2
2X
B
A
12345678910111213141516
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
A0.3
C
0.15
b
AA1
A2
0.2 C
171819
U
W
V
M
Millimeters
DIM Min Max
A2.22 2.77
A1 0.50 0.70
A2 1.00 1.20
b0.60 0.90
D25.00 BSC
E25.00 BSC
e1.27 BSC
39
PC755B/745B
2138A–HIREL–05/02
Mechanical Dimensions of the
PC755B CBGA Package Figure 27 provides the mechanical dimensions and bottom surface nomenclature of the
PC755B, 360 CBGA package.
Figure 27. Mechanical Dimensions and Bottom Surface Nomenclature of PC755B (CBGA)
NOTES:
A. DIMENSIONING AND TOLERANCING PER
ASME Y14.5M, 1994.
B. DIMENSIONS IN MILLIMETERS.
C. TOP SIDE A1 CORNER INDEX IS A
METALIZED FEATURE WITH VARIOUS
SHAPES. BOTTOM SIDE A1 CORNER IS
DESIGNATED WITH A BALL MISSING
FROM THE ARRA
Y.
D. TOP SIDE CAPACITOR ASSEMBLY AREAS
ARE CONNECTED TO POWER PLANES
BUT NOT USED
FT
360X
G
1 2 3 4 5 6 7 8 910 11 121314 15 16
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
E0.3
T
0.15
D
C
H
A1
T
0.2 T
171819
U
W
V
A
B
C
D
G
H
K
N
P
DIM MIN MAX
MAX
MIN
MILLIMETERS INCHES
25.000 BSC
25.000 BSC
2.65 3.2 0.104 0.126
0.820 0.930 0.032
7.73
6.61
0.0390.0310.990
0.790
1.270 BSC 0.050 BSC
0.635 BSC 0.025 BSC
A1 1.1 1.3
0.2
A
2X
A1 CORNER
BP
N
0.2
2X
F
E
K
K
0.984 BSC
0.043 0.052
0.984 BSC
0.037
D3
E3
2.75
3
0.108
D3
E3
0.118
40 PC755B/745B 2138A–HIREL–05/02
Mechanical Dimensions of the
PC755B CI-CGA Package Figure 28 provides the mechanical dimensions and bottom surface nomenclature of
PC755B, 360 CI-CGA package
Figure 28. Mechanical Dimensions and Bottom Surface Nomenclature of PC755B (CI-CGA)
NOTES:
A. DIMENSIONING AND TOLERANCING PER
ASME Y14.5M, 1994.
B. DIMENSIONS IN MILLIMETERS.
C. TOP SIDE A1 CORNER INDEX IS A
METALIZED FEATURE WITH VARIOUS
SHAPES. BOTTOM SIDE A1 CORNER IS
DESIGNATED WITH A BALL MISSING
FROM THE ARRA
Y.
D. TOP SIDE CAPACITOR ASSEMBLY AREAS
ARE CONNECTED TO POWER PLANES
BUT NOT USED
FT
360X
G
1 2 3 4 5 6 7 8 910 11 121314 15 16
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
E0.3
T
0.15
D
171819
U
W
V
A
B
D
G
H
K
N
P
DIM MAX
MIN
MILLIMETERS
25.000 BSC
25.000 BSC
C4.04 BSC
0.790 0.990
7.73
6.61
1.6951.545
V0.35
0.25
D3 2.75
E3 3
1.270 BSC
0.635 BSC
0.2
A
2X
A1 CORNER
BP
N
0.2
2X
F
E
K
K
R
U
3.22 BSC
0.10 BSC
D3
E3
R
UH
V
C
-T-
0.150 T
41
PC755B/745B
2138AHIREL05/02
Clock Relationship
Choices The PC755Bs PLL is configured by the PLL_CFG[0-3] signals. For a given SYSCLK
(bus) frequency, the PLL configuration signals set the internal CPU and VCO frequency
of operation. The PLL configuration for the PC755B is shown in Figure 30 for example
frequencies.
Notes: 1. PLL_CFG[0:3] settings not listed are reserved.
2. The sample bus-to-core frequencies shown are for reference only. Some PLL configurations may select bus, core, or VCO
frequencies which are not useful, not supported, or not tested for by the PC755B; see Section «Clock AC Specifications»
page 24 for valid SYSCLK, core, and VCO frequencies.
3. In PLL-bypass mode, the SYSCLK input signal clocks the internal processor directly, the PLL is disabled, and the bus mode
is set for 1:1 mode operation. This mode is intended for factory use and emulator tool use only.
Note: The AC timing specifications given in this document do not apply in PLL-bypass mode.
4. In PLL off mode, no clocking occurs inside the PC755 regardless of the SYSCLK input.
Table 17. PC755B Microprocessor PLL Configuration
PLL_CFG [0-3]
Example Bus-to-Core Frequency in MHz (VCO Frequency in MHz)
Bus-to-Core
Multiplier Core-toVCO
Multiplier Bus 33
MHz Bus 50
MHz Bus 66
MHz Bus 75
MHz Bus 80
MHz Bus 100
MHz
0100 2x 2x -----
200
(400)
1000 3x 2x - - 200
(400) 225
(450) 240
(480) 300
(600)
1110 3.5x 2x - - 233
(466) 263
(525) 280
(560) 350
(700)
1010 4x 2x - 200
(400) 266
(533) 300
(600) 320
(640) 400
(800)
0111 4.5x 2x - 225
(450) 300
(600) 338
(675) 360
(720) -
1011 5x 2x - 250
(500) 333
(666) 375
(750) 400
(800) -
1001 5.5x 2x - 275
(550) 366
5733°---
1101 6x 2x 200
(400) 300
(600) 400
(800) ---
0101 6.5x 2x 216
(433) 325
(650) ----
0010 7x 2x 233
(466) 350
(700) ----
0001 7.5x 2x 250
(500) 375
(750) ----
1100 8x 2x 266
(533) 400
(800) ----
0110 10x 2x 333
(666) -----
0011 PLL off/bypass PLL off, SYSCLK clocks core circuitry directly, 1x bus-to-core implied
1111 PLL off PLL off, no core clocking occurs
42 PC755B/745B 2138AHIREL05/02
The PC755B generates the clock for the external L2 synchronous data SRAMs by divid-
ing the core clock frequency of the PC755B. The divided-down clock is then phase-
adjusted by an on-chip delay-lock-loop (DLL) circuit and should be routed from the
PC755B to the external RAMs. A separate clock output, L2SYNC_OUT is sent out half
the distance to the SRAMs and then returned as an input to the DLL on pin L2SYNC_IN
so that the rising-edge of the clock as seen at the external RAMs can be aligned to the
clocking of the internal latches in the L2 bus interface.
The core-to-L2 frequency divisor for the L2 PLL is selected through the L2CLK bits of
the L2CR register. Generally, the divisor must be chosen according to the frequency
supported by the external RAMs, the frequency of the PC755B core, and the phase
adjustment range that the L2 DLL supports. Figure 18 shows various example L2 clock
frequencies that can be obtained for a given set of core frequencies. The minimum L2
frequency target is 80 MHz.
Note: The core and L2 frequencies are for reference only. Some examples may repre-
sent core or L2 frequencies which are not useful, not supported, or not tested
for by the PC755B; see Section L2 Clock AC Specificationspage 27 for valid
L2CLK frequencies. The L2CR[L2SL] bit should be set for L2CLK frequencies
less than 110 MHz.
System Design
Information
PLL Power Supply Filtering The AVDD and L2AVDD power signals are provided on the PC755B to provide power to
the clock generation phase-locked loop and L2 cache delay-locked loop respectively. To
ensure stability of the internal clock, the power supplied to the AVDD input signal should
be filtered of any noise in the 500 kHz to 10 MHz resonant frequency range of the PLL.
A circuit similar to the one shown in Figure 30 using surface mount capacitors with mini-
mum Effective Series Inductance (ESL) is recommended. Consistent with the
recommendations of Dr. Howard Johnson in High Speed Digital Design: A Handbook of
Black Magic (Prentice Hall, 1993), multiple small capacitors of equal value are recom-
mended over a single large value capacitor.
Table 18. Sample Core-to-L2 Frequencies
Core Frequency in MHz 1 1.5 2 2.5 3
250 250 166 125 100 83
266 266 177 133 106 89
275 275 183 138 110 92
300 300 200 150 120 100
325 325 217 163 130 108
333 333 222 167 133 111
350 350 233 175 140 117
366 366 244 183 146 122
375 375 250 188 150 125
400 400 266 200 160 133
43
PC755B/745B
2138AHIREL05/02
The circuit should be placed as close as possible to the AVDD pin to minimize noise cou-
pled from nearby circuits. An identical but separate circuit should be placed as close as
possible to the L2AVDD pin. It is often possible to route directly from the capacitors to the
AVDD pin, which is on the periphery of the 360 BGA footprint, without the inductance of
vias. The L2AVDD pin may be more difficult to route but is proportionately less critical.
Figure 29. PLL Power Supply Filter Circuit
Power Supply Voltage
Sequencing The notes in Figure 31 contain cautions about the sequencing of the external bus volt-
ages and core voltage of the PC755B (when they are different). These cautions are
necessary for the long term reliability of the part. If they are violated, the ESD (Electro-
static Discharge) protection diodes will be forward biased and excessive current can
flow through these diodes. If the system power supply design does not control the volt-
age sequencing, the circuit of Figure 31 can be added to meet these requirements. The
MUR420 Schottky diodes of Figure 31 control the maximum potential difference
between the external bus and core power supplies on power-up and the 1N5820 diodes
regulate the maximum potential difference on power-down.
Figure 30. Example Voltage Sequencing Circuit
Decoupling
Recommendations Due to the PC755Bs dynamic power management feature, large address and data
buses, and high operating frequencies, the PC755B can generate transient power
surges and high frequency noise in its power supply, especially while driving large
capacitive loads. This noise must be prevented from reaching other components in the
PC755B system, and the PC755B itself requires a clean, tightly regulated source of
power. Therefore, it is recommended that the system designer place at least one decou-
pling capacitor at each VDD,OVDD, and L2OVDD pin of the PC755B. It is also
recommended that these decoupling capacitors receive their power from separate VDD,
(L2)OVDD and GND power planes in the PCB, utilizing short traces to minimize
inductance.
These capacitors should have a value of 0.01 For0.1F. Only ceramic SMT (surface
mount technology) capacitors should be used to minimize lead inductance, preferably
0508 or 0603 orientations where connections are made along the length of the part.
VDD AVDD (or L2AVDD)
10
2.2 µF 2.2 µF
GND
Low ESL Surface Mount Capacitors
3.3V 2.0V
MURS320
1N5820
MURS320
1N5820
44 PC755B/745B 2138AHIREL05/02
In addition, it is recommended that there be several bulk storage capacitors distributed
around the PCB, feeding the VDD,L2OVDD, and OV vplanes, to enable quick recharging
of the smaller chip capacitors. These bulk capacitors should have a low ESR (equivalent
series resistance) rating to ensure the quick response time necessary. They should also
be connected to the power and ground planes through two vias to minimize inductance.
Suggested bulk capacitors 100-330 F (AVX TPS tantalum or Sanyo OSCON).
Connection
Recommendations To ensure reliable operation, it is highly recommended to connect unused inputs to an
appropriate signal level through a resistor. Unused active low inputs should be tied to
OVDD. Unused active high inputs should be connected to GND. All NC (no-connect) sig-
nals must remain unconnected.
Power and ground connections must be made to all external VDD,OVDD, L2OVDD,and
GND pins of the PC755B.
Output Buffer DC Impedance The PC755B 60x and L2 I/O drivers are characterized over process, voltage, and tem-
perature. To measure Z0, an external resistor is connected from the chip pad to
(L2)OVDD or GND. Then, the value of each resistor is varied until the pad voltage is
(L2)OVDD/2 (See Figure 32).
The output impedance is the average of two components, the resistances of the pull-up
and pull-down devices. When Data is held low, SW2 is closed (SW1 is open), and RNis
trimmed until the voltage at the pad equals (L2)OVDD/2. RNthen becomes the resistance
of the pull-down devices. When Data is held high, SW1 is closed (SW2 is open), and RP
is trimmed until the voltage at the pad equals (L2)OVDD/2. RPthen becomes the resis-
tance of the pull-up devices.
NO TAG describes the driver impedance measurement circuit described above.
Figure 31. Driver Impedance Measurement Circuit
(L2)OVDD
OGND
RP
RN
Pad
Data
SW1
SW2
(L2)OVDD
45
PC755B/745B
2138AHIREL05/02
Alternately, the following is another method to determine the output impedance of the
PC755B. A voltage source, Vforce, is connected to the output of the PC755B as in Figure
32. Data is held low, the voltage source is set to a value that is equal to (L2)OVDD/2 and
the current sourced by Vforce is measured. The voltage drop across the pull-down
device, which is equal to (L2)OVDD/2, is divided by the measured current to determine
the output impedance of the pull-down device, RN. Similarly, the impedance of the pull-
up device is determined by dividing the voltage drop of the pull-up, (L2)OVDD/2, by the
current sank by the pull-up when the data is high and Vforce is equal to (L2)OVDD/2. This
methodcanbeemployedwitheitherempiricaldatafromatestsetuporwithdatafrom
simulation models, such as IBIS.
RPand RNare designed to be close to each other in value. Then Z0=(R
P+R
N)/2.
Figure 32 describes the alternate driver impedance measurement circuit.
Figure 32. Alternate Driver Impedance Measurement Circuit
Table 19 summarizes the signal impedance results. The driver impedance values were
characterized at 0°C, 65°C, and 105°C. The impedance increases with junction temper-
ature and is relatively unaffected by bus voltage.
Pullup Resistor
Requirements The PC755B requires high-resistive (weak: 10 K) pull-up resistors on several control
pins of the bus interface to maintain the control signals in the negated state after they
have been actively negated and released by the PC755B or other bus masters. These
pins are TS,ABB, ARTRY.
Three test pins also require pull-up resistors (weak or stronger: 4.7 k-10k). These
pins are L1_TSTCLK, L2_TSTCLK, and LSSD_MODE. These signals are for factory
use only and must be pulled up to OVDD for normal machine operation.
In addition, the PC755B has one open-drain style output that requires a pull-up resistor
(weak or stronger: 4.7 KW-10 KW) if it is used by the system. This pin is CKSTP_OUT.
Table 19. Impedance Characteristics
VDD =2.0V,OV
DD =3.3V,T
c=0-105°C
Impedance Processor bus L2 bus Symbol Unit
RN 25-36 25-36 Z0W
RP 26-39 26-39 Z0W
(L2)OVDD
BGA
Data Pin
OGND
Vforce
46 PC755B/745B 2138AHIREL05/02
During inactive periods on the bus, the address and transfer attributes may not be
driven by any master and may therefore float in the high-impedance state for relatively
long periods of time. Since the PC755B must continually monitor these signals for
snooping, this float condition may cause excessive power draw by the input receivers on
the PC755B or by other receivers in the system. It is recommended that these signals
be pulled up through weak (i.e. 10 KΩ ) pull-up resistors by the system, or that they may
be otherwise driven by the system during inactive periods of the bus. The snooped
address and transfer attribute inputs are:
A[0:31], AP[0:3], TT[0:4], TBST and GBL.
The data bus input receivers are normally turned off when no read operation is in
progress and therefore do not require pull-up resistors on the bus. Other data bus
receivers in the system, however, may require pull-ups, or that those signals be other-
wise driven by the system during inactive periods by the system. The data bus signals
are: DH[0:31], DL[0:31] and DP[0:7]
If 32-bit data bus mode is selected, the input receivers of the unused data and parity bits
will be disabled, and their outputs will drive logic zeros when they would otherwise nor-
mally be driven. For this mode, these pins do not require pull-up resistors, and should be
left unconnected by the system to minimize possible output switching.
If address or data parity is not used by the system, and the respective parity checking is
disabled through HID0, the input receivers for those pins are disabled, and those pins
do not require pull-up resistors and should be left unconnected by the system. If all par-
ity generation is disabled through HID0, then all parity checking should also be disabled
through HID0, and all parity pins may be left unconnected by the system.
The L2 interface does not normally require pull-up resistors.
Definitions
Datasheet Status Validity
Objective specification This datasheet contains target and goal specification for
discussion with customer and application validation. Before design phase.
Target specification This datasheet contains target or goal specification for
product development. Valid during the design phase.
Preliminary specification site This datasheet contains preliminary data. Additional data
may be published later; could include simulation result. Valid before characterization phase.
Preliminary specification βsite This datasheet contains also characterization results. Valid before the industrialization
phase.
Product specification This datasheet contains final product specification. Valid for production purpose.
Limiting Values
Limiting values given are in accordance with the Absolute Maximum Rating System (IEC 134). Stress above one or more of the
limiting values may cause permanent damage to the device. These are stress ratings only and operation of the device at these or at
any other conditions above those given in the Characteristics sections of the specification is not implied. Exposure to limiting values
for extended periods may affect device reliability.
Application Information
Where application information is given, it is advisory and does not form part of the specification.
47
PC755B/745B
2138AHIREL05/02
Life Support
Applications These products are not designed for use in life support appliances, devices, or systems
where malfunction of these products can reasonably be expected to result in personal
injury. Atmel customers using or selling these products for use in such applications do
so at their own risk and agree to fully indemnity Atmel for any damages resulting from
such improper use or sale.
Differences with
Commercial Part
Ordering Information
Note: For availability of different versions, contact your Atmel sales office.
Commercial part Military part
Temperature range Tj= 0 to 105°CT
j=-55°Cto 125°C
PC755B M ZF U 300 L x
Type
Package:
ZF: FC-PBGA
G: CBGA
GS: CI-CGA Screening Level(1)
U: Upscreening Test
Revision Level(1)
E: Rev. 2.8
Temperature Range: Tj
M: -55 C, +125 C
V: -40 C, +110 C
Bus divider
(to be confirmed)
L: Any valid PLL configuration
Max internal processor speed
300: 300 MHz
350: 350 MHz
366: 366 MHz
400: 400 MHz, TBC
Printed on recycled paper.
© Atmel Corporation 2002.
Atmel Corporation makes no warranty for the use of its products, other than those expressly contained in the Companys standard warranty
which is detailed in Atmels Terms and Conditions located on the Companys web site. The Company assumes no responsibility for any errors
which may appear in this document, reserves the right to change devices or specifications detailed herein at any time without notice, and does
not make any commitment to update the information contained herein. No licenses to patents or other intellectual property of Atmel are granted
by the Company in connection with the sale of Atmel products, expressly or by implication. Atmels products are not authorized for use as critical
components in life support devices or systems.
Atmel Headquarters Atmel Operations
Corporate Headquarters
2325 Orchard Parkway
San Jose, CA 95131
TEL 1(408) 441-0311
FAX 1(408) 487-2600
Europe
Atmel Sarl
Route des Arsenaux 41
Case Postale 80
CH-1705 Fribourg
Switzerland
TEL (41) 26-426-5555
FAX (41) 26-426-5500
Asia
Room 1219
Chinachem Golden Plaza
77 Mody Road Tsimhatsui
East Kowloon
Hong Kong
TEL (852) 2721-9778
FAX (852) 2722-1369
Japan
9F, Tonetsu Shinkawa Bldg.
1-24-8 Shinkawa
Chuo-ku, Tokyo 104-0033
Japan
TEL (81) 3-3523-3551
FAX (81) 3-3523-7581
Memory
2325 Orchard Parkway
San Jose, CA 95131
TEL 1(408) 441-0311
FAX 1(408) 436-4314
Microcontrollers
2325 Orchard Parkway
San Jose, CA 95131
TEL 1(408) 441-0311
FAX 1(408) 436-4314
La Chantrerie
BP 70602
44306 Nantes Cedex 3, France
TEL (33) 2-40-18-18-18
FAX (33) 2-40-18-19-60
ASIC/ASSP/Smart Cards
Zone Industrielle
13106 Rousset Cedex, France
TEL (33) 4-42-53-60-00
FAX (33) 4-42-53-60-01
1150 East Cheyenne Mtn. Blvd.
Colorado Springs, CO 80906
TEL 1(719) 576-3300
FAX 1(719) 540-1759
Scottish Enterprise Technology Park
Maxwell Building
East Kilbride G75 0QR, Scotland
TEL (44) 1355-803-000
FAX (44) 1355-242-743
RF/Automotive
Theresienstrasse 2
Postfach 3535
74025 Heilbronn, Germany
TEL (49) 71-31-67-0
FAX (49) 71-31-67-2340
1150 East Cheyenne Mtn. Blvd.
Colorado Springs, CO 80906
TEL 1(719) 576-3300
FAX 1(719) 540-1759
Biometrics/Imaging/Hi-Rel MPU/
High Speed Converters/RF Datacom
Avenue de Rochepleine
BP 123
38521 Saint-Egreve Cedex, France
TEL (33) 4-76-58-30-00
FAX (33) 4-76-58-34-80
e-mail
literature@atmel.com
Web Site
http://www.atmel.com
2138AHIREL05/02 0M
ATMEL®is the registered trademark of Atmel.
The PowerPC names and the PowerPC logotype are trademarks of International Business Machines Corpora-
tion, used under license therform.
Motorola is the registered trademark of Motorola, Inc.
AltiVecis a trademark of Motorola, Inc.
Other terms and product names may be the trademarks of others.