ADSP-2189M EZ-KIT Lite®
Evaluation System Manual
Revision 2.1, October 2003
Part Number
82-000333-01
Analog Devices, Inc.
One Technology Way
N orwood, Mass. 02062-9106 a
Copyright Information
© 2003 Analog Devices, Inc., ALL RIGHTS RESERVED. This docu-
ment may not be reproduced in any form without prior, express written
consent from Analog Devices, Inc.
Printed in the USA.
Limited Warranty
The EZ-KIT Lite evaluation system is warranted against defects in materi-
als and workmanship for a period of one year from the date of purchase
from Analog Devices or from an authorized dealer.
Disclaimer
Analog Devices, Inc. reserves the right to change this product without
prior notice. Information furnished by Analog Devices is believed to be
accurate and reliable. However, no responsibility is assume d by Analog
Devices for its use; nor for any infringement of patents or other rights of
third parties which may result from its use. No license is granted by impli-
cation or otherwise under the patent rights of Analog Devices, Inc.
Trademark and Service Mark Notice
The Analog Devices logo, Blackfin, and EZ-KIT Lite are registered trade-
marks of Analog Devices, Inc.
VisualDSP++ is a trademark of Analog Devices, Inc.
All other brand and product names are trademarks or service marks of
their respective owners.
ADSP-2189M EZ-KIT Lite Evaluation System Manual iii
CONTENTS
PREFACE
Purpose of This Manual ................................................................... x
Intended Audience ........................................................................... x
Manual Contents ............................................................................ xi
What’s New in This Manual ........................................................... xii
Technical or Customer Support ...................................................... xii
Supported Processors ...................................................................... xii
Product Information ..................................................................... xiii
MyAnalog.com ........................................................................ xiii
DSP Product Information ........................................................ xiii
Related Documents .................................................................. xiv
Online Documentation ............................................................. xv
Printed Manuals ....................................................................... xvi
VisualDSP++ Documentation Set ......................................... xvi
Hardware Manuals ............................................................... xvi
Data Sheets .......................................................................... xvi
Contacting DSP Publications ................................................... xvii
Notation Conventions ................................................................... xvii
CONTENTS
iv ADSP-2189M EZ-KIT Lite Evaluation System Manual
GETT ING STAR TED
Contents of EZ-KIT Lite Package ................................................. 1-2
System Requirements .................................................................... 1-3
Installation Tasks .......................................................................... 1-3
Setting Up EZ-KIT Lite Hardware .......................................... 1-4
Installing VisualDSP++ and EZ-KIT Lite Software .................. 1-5
Installing VisualDSP++ License ............................................... 1-6
USING EZ-KIT LITE SOFTWARE
EZ-KIT Lite License Restrictions .................................................. 2-2
Memory Map ............................................................................... 2-2
Standard Operations ..................................................................... 2-3
Default Settings ...................................................................... 2-3
Input and Output Devices ....................................................... 2-4
Flags .................................................................................. 2-4
Interrupts ........................................................................... 2-4
Serial Ports ......................................................................... 2-5
POST Routines ....................................................................... 2-5
Memory Checks ................................................................. 2-6
UART Check and Initialization ........................................... 2-6
AD73322 Codec Check and Initialization ........................... 2-7
Monitor Program Operations .................................................. 2-7
Halt loop ........................................................................... 2-8
UART ISR ......................................................................... 2-8
ADSP-2189M EZ-KIT Lite Evaluation System Manual v
CONTENTS
Command Processing .......................................................... 2-9
Software Breakpoints ............................................................... 2-9
AD73322 Codec Dual Analog Front End ................................. 2-9
AD73322 Codec Transmissions ............................................. 2-10
Using EZ-KIT Lite VisualDSP++ Interface .................................. 2-11
Developing Programs ............................................................. 2-11
Starting Visual DSP++ ........................................................... 2-12
Debugging With EZ-KIT Lite ............................................... 2-14
Loading Programs .................................................................. 2-14
Registers and Memory ....................................................... 2-14
Setting Breakpoints and Stepping ...................................... 2-15
Resetting EZ-KIT Lite Board ............................................ 2-15
Example Programs ................................................................. 2-16
Convolution ..................................................................... 2-16
IRQE ............................................................................... 2-16
Overlay ............................................................................. 2-16
Primes .............................................................................. 2-16
TalkThrough ..................................................................... 2-17
Timer ............................................................................... 2-17
EZ-KIT LITE HARDWARE REFERENCE
System Architecture ...................................................................... 3-2
Board Layout ................................................................................ 3-3
Flash Memory ......................................................................... 3-3
User LEDs .............................................................................. 3-4
CONTENTS
vi ADSP-2189M EZ-KIT Lite Evaluation System Manual
Switches .................................................................................. 3-4
Mode Selection Switch ............................................................ 3-5
Connectors ................................................................................... 3-7
Expansion Port Connectors ..................................................... 3-9
Power Connector .................................................................. 3-11
European Power Supply Connections ................................ 3-12
AD73322 Codec Connectors ................................................. 3-12
EZ-ICE Connector ............................................................... 3-12
Designing EZ-ICE Compatible Systems ...................................... 3-14
Hardware Debugging ............................................................ 3-15
RESTRICTIONS
BILL OF MATERIALS
ADSP-2189M EZ-KIT Lite Evaluation System Manual vii
PREFACE
Thank you for purchasing the ADSP-2189M EZ-KIT Lite®, Analog
Devices (ADI) evaluation system for ADSP-2189 DSPs.
The ADSP-2189M DSP is a single-chip microcomputer optimized for
digital signal processing (DSP) and other high-speed numeric processing
applications.
The evaluation board is designed to be used in conjunction with
VisualDSP++™ development environment to test the capabilities of the
ADSP-2189M DSPs. The VisualDSP++ software gives you the ability to
perform advanced application code development and debug, such as:
Create, compile, assemble, and link application programs written
in C++, C and ADSP-2189M assembly
Load, run, step, halt, and set breakpoints in application program
Read and write data and program memory
Read and write core and peripheral registers
Plot memory
Using the EZ-KIT Lite with VisualDSP++, you can observe the
ADSP-2189M processor execute programs from on-chip RAM, interact
with on-board devices, and communicate with other peripherals located
on optional add-on modules.
viii ADSP-2189M EZ-KIT Lite Evaluation System Manual
You can access the ADSP-2189M processor from a personal computer
(PC) through a serial port or an optional emulator. The monitor program
gives you complete target debug capability through the serial port. In con-
trast, the emulator allows the PC to perform in-circuit emulation through
the processor’s emulation port.
The boards features:
Analog Devices ADSP-2189MKST-300 processor
!Operating at an instruction rate of 75 MIPS (37.57 external
clock)
Analog Audio Interface
!AD73322AR – Analog Devices stereo codec
Analog Inputs
!One stereo pair of 2V RMS AC coupled line-level inputs
!One stereo pair of 20 mV RMS AC coupled microphone
inputs
Analog Outputs
!One stereo pair of 1V RMS AC coupled line-level outputs
Power Source
!7.5V DC (+ or -5%) at 4.0 Amps (maximum)
Environment
!0 to 70o centigrade
!10 to 90 percent relative humidity (non condensing)
RS-232 Interface
ADSP-2189M EZ-KIT Lite Evaluation System Manual ix
Preface
Flash memory
User push buttons
Prototype (expansion) area
User configurable jumpers
The ADSP-2189M board is equipped with hardware that facilitates inter-
active demonstrations. Push button switches and user programmable
LEDs provide user control and board status checking. Additionally, the
AD73322 SoundPort codec provides access to an audio input (selectable
as line level or microphone) and an audio output (line level). To learn
more about AD73322 codec, see the data sheet at
http://www.analog.com/Uploaded-
Files/Data_Sheets/14950546AD73322_b.pdf.
The ADSP-2189MEZ-KIT Lite includes a monitor program stored in the
original Flash memory. The monitor program lets the board communicate
over the serial port to a PC. This monitor program lets you download,
execute, and debug ADSP-2189M programs.
You can also connect an EZ-ICE (in-circuit emulator) to the EZ-KIT
Lite. Through the EZ-ICE, you can load programs, start and stop pro-
gram execution, observe and alter registers and memory, and perform
other debugging operations. To learn more about Analog Devices emula-
tors and DSP development tools, go to
http://www.analog.com/dsp/tools/.
Additionally, the EZ-KIT Lite provides user installed expansion connec-
tors that let you examine processor signals, as well as provide an interface
for host control.
"The VisualDSP++ licence provided with this EZ-KIT Lite evalua-
tion system limits the size of a user program to 8K bytes of internal
memory.
Purpose of This Manual
x ADSP-2189M EZ-KIT Lite Evaluation System Manual
Purpose of This Manual
The ADSP-2189M EZ-KIT Lite Evaluation System Manual provides
instructions for using the hardware and installing the software on your
PC. This manual provides guidelines for running your own code on the
ADSP-2189M EZ-KIT Lite. The manual also describes the operation and
configuration of the evaluation board’s components. Finally, a bill of
materials is provided as a reference for future ADSP-2189M board
designs.
Intended Audience
This manual is a user’s guide and reference to the ADSP-2189M EZ-KIT
Lite evaluation system. Programmers who are familiar with the Analog
Devices processor architecture, operation, and programming are the pri-
mary audience for this manual.
Programmers who are unfamiliar with Analog Devices 16-bit fixed-point
processors can use this manual in conjunction with the ADSP-218x DSP
Hardware Reference and the ADSP-218x DSP Instruction Set Reference,
which describe the processor architecture and instruction set. Program-
mers who are unfamiliar with VisualDSP++ should refer to the
VisualDSP++ online Help and the VisualDSP++ user’s or getting started
guides. For the locations of these documents, refer to “Related
Documents”.
ADSP-2189M EZ-KIT Lite Evaluation System Manual xi
Preface
Manual Contents
The manual consists of:
Chapter 1,“Getting Started” on page 1-1
Provides software and hardware installation procedures, PC system
requirements, and basic board information.
Chapter 2, “Using EZ-KIT LITE Software” on page 2-1
Provides information on the EZ-KIT Lite from a programmer’s
perspective and provides an easy-to-access memory map.
Chapter 3, “EZ-KIT Lite Hardware Reference” on page 3-1
Provides information on the hardware aspects of the evaluation
system.
Appendix A, “Restrictions” on page A-1
Provides a list of restrictions applicable to Release 1.1 of the
ADSP-2189MEZ-KIT Lite evaluation board.
Appendix B, “Bill Of Materials” on page B-1
Provides a list of components used to manufacture the EZ-KIT
Lite.
What’s New in This Manual
This is the second revision of the ADSP-2189M EZ-KIT Lite Evaluation
System Manual. The manual provides the updated listing of related docu-
ments, updated installation procedure and Bill of Materials, and
additional information on the processor’s internal memory.
Technical or Customer Support
xii ADSP-2189M EZ-KIT Lite Evaluation System Manual
Technical or Customer Support
You can reach DSP Tools Support in the following ways.
Visit the DSP Development Tools website at
www.analog.com/technology/dsp/developmentTools/index.html
Email questions to
dsptools.support@analog.com
Phone questions to 1-800-ANALOGD
Contact your ADI local sales office or authorized distributor
Send questions by mail to
Analog Devices, Inc.
One Technology Way
P.O. Box 9106
Norwood, MA 02062-9106
USA
Supported Processors
The ADSP-2189MEZ-KIT Lite evaluation system supports
ADSP-2189M Analog Devices microprocessors.
Product Information
You can obtain product information from the Analog Devices website,
from the product CD-ROM, or from the printed publications (manuals).
ADSP-2189M EZ-KIT Lite Evaluation System Manual xiii
Preface
Analog Devices is online at www.analog.com. Our website provides infor-
mation about a broad range of products—analog integrated circuits,
amplifiers, converters, and digital signal processors.
MyAnalog.com
MyAnalog.com is a free feature of the Analog Devices website that allows
customization of a webpage to display only the latest information on
products you are interested in. You can also choose to receive weekly email
notification containing updates to the webpages that meet your interests.
MyAnalog.com provides access to books, application notes, data sheets,
code examples, and more.
Registration:
Visit www.myanalog.com to sign up. Click Register to use MyAnalog.com.
Registration takes about five minutes and serves as means for you to select
the information you want to receive.
If you are already a registered user, just log on. Your user name is your
email address.
DSP Product Information
For information on digital signal processors, visit our website at
www.analog.com/dsp, which provides access to technical publications, data
sheets, application notes, product overviews, and product announcements.
You may also obtain additional information about Analog Devices and its
products in any of the following ways.
Email questions or requests for information to
dsp.support@analog.com
Fax questions or requests for information to 1-781-461-3010
(North America) or +49 (0) 89 76903-157 (Europe)
Product Information
xiv ADSP-2189M EZ-KIT Lite Evaluation System Manual
Related Documents
For information on product related development software, see the follow-
ing publications.
Table 1. Related DSP Publications
Title Description
ADSP-2189M DSP Microcomputer Data Sheet General functional description, pinout, and
timing.
ADSP-218x DSP Hardware Reference Description of internal processor architecture
and all register functions.
ADSP-218x DSP Instruction Set Reference Description of all allowed processor assembly
instructions.
Table 2. Related VisualDSP++ Publications
Title Description
VisualDSP++ 3.5 Users Guide for 16-Bit Proces-
sors Detailed description of VisualDSP++ 3.5 fea-
tures and usage.
VisualDSP++ 3.5 Assembler and Preprocessor
Manual for ADSP-218x and 219x Processors Description of the assembler function and
commands for processors.
VisualDSP++ 3.5 C Complier and Library Man-
ual for ADSP-218x Processors Description of the complier function and com-
mands for processors
VisualDSP++ 3.5 Linker & Utilities Ma nual for
16-Bit Processors Description of the linker function and com-
mands for 16-bit processors.
VisualDSP++ 3.5 Loader Manual for 16-Bit
Processors Description of the loader/splitter function and
commands for 16-bit processors.
ADSP-2189M EZ-KIT Lite Evaluation System Manual xv
Preface
The listed documents can be found through online Help or in the Docs
folder of your VisualDSP++ installation. Most documents are available in
printed form.
"If you plan to use the EZ-KIT Lite board in conjunction with a
JTAG emulator, refer to the documentation that accompanies the
emulator.
Online Documentation
Your software installation kit includes online Help as part o f the Win-
dows® interface. These help files provide information about
VisualDSP++ and the ADSP-2189M EZ-KIT Lite evaluation system.
To view VisualDSP++ Help, click on the Help menu item or go to the
Windows task bar and select Start -->Programs -->VisualDSP -->Visu-
alDSP++ Documentation.
To view ADSP-2189M EZ-KIT Lite Help, which now is a part of the
VisualDSP++ Help system, go the Contents tab of the Help window and
select Manuals --> ADSP-BF533 EZ-KIT Lite.
For more documentation, please go to
http://www.analog.com/technology/dsp/library.html.
Printed Manuals
For general questions regarding literature orde ring, call the Literature
Center at 1-800-ANALOGD (1-800-262-5643) and follow the prompts.
VisualDSP++ Documentation Set
Printed copies of VisualDSP++ manuals may be purchased through Ana-
log Devices Customer Service at 1-781-329-4700; ask for a Customer
Service representative. The manuals can be purchased only as a kit. For
additional information, call 1-603-883-2430.
Product Information
xvi ADSP-2189M EZ-KIT Lite Evaluation System Manual
If you do not have an account with Analog Devices, you will be referred to
Analog Devices distributors. To get information on our distributors, log
onto www.analog.com/salesdir/continent.asp.
Hardware Manuals
Printed copies of hardware reference and instruction set reference manuals
can be ordered through the Literature Center or downloaded from the
Analog Devices website. The phone number is 1-800-ANALOGD
(1-800-262-5643). The manuals can be ordered by a title or by product
number located on the back cover of each manual.
Data Sheets
All data sheets can be downloaded from the Analog Devices website. As a
general rule, printed copies of data sheets with a letter suffix (L, M, N, S)
can be obtained from the Literature Center at 1-800-ANALOGD
(1-800-262-5643) or downloaded from the website. Data sheets without
the suffix can be downloaded from the website only—no hard copies are
available. You can ask for the data sheet by part name or by product
number.
If you want to have a data sheet faxed to you, the phone number for that
service is 1-800-446-6212. Follow the prompts and a list of data shee t
code numbers will be faxed to you. Call the Literature Center first to find
out if requested data sheets are available.
Contacting DSP Publications
Please send your comments and recommendations on how to improve our
manuals and online Help. You can contact us at
dsp.techpubs@analog.com .
ADSP-2189M EZ-KIT Lite Evaluation System Manual xvii
Preface
Notation Conventions
The following table identifies and describes text conventions used in this
manual.
"Additional conventions, which apply only to specific chapters, may
appear throughout this document.
Example Description
Close command
(File menu) or OK Text in bold style indicates the location of an item within th e
VisualDSP++ environments and boards’ menu system and user interface
items.
{this | that} Alternative required items in syntax descriptions appear within curly
brackets separated by vertical bars; read the example as this or that.
[this | that] Optional items in syntax descriptions appear within brackets and sepa-
rated by vertical bars; read the example as an optional this or that.
[this,…] Optional item lists in syntax descriptions appear within brackets delim-
ited by commas and terminated with an ellipsis; read the example as an
optional comma-separated list of this.
PF9–0 Registers, connectors, pins, commands, directives, keywords, code exam-
ples, and feature names are in text with letter gothic font.
filename Non-keyword placeholders appear in text with italic style format.
Note: A note providing information of special interest or identifying a
related topic. In the online version of this book, the word Note appears
instead of this symbol.
Caution: A caution providing information about critical design or programming
issues that influence operation of a product. In the online version of this
book, the word Caution appears instead of this symbol.
Notation Conventions
xviii ADSP-2189M EZ-KIT Lite Evaluation System Manual
ADSP-2189M EZ-KIT Lite Evaluation System Manual 1-1
1 GETTING STARTED
This chapter provides the information you need to begin using
ADSP-2189M EZ-KIT Lite evaluation system. For correct operation,
install the software and hardware in the order presented in “Installation
Tasks” on page 1-3.
The chapter includes the following sections.
“Contents of EZ-KIT Lite Package” on page 1-2
Provides a list of the components shipped with this EZ-KIT Lite
evaluation system.
“System Requirements” on page 1-3
Describes the minimum requirements for the PC to work with the
EZ-KIT Lite evaluation system.
“Installation Tasks” on page 1-3
Describes the step-by-step procedures for setting up the hardware
and software.
Contents of EZ-KIT Lite Package
1-2 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Contents of EZ-KIT Lite Package
Your ADSP-2189M EZ-KIT Lite evaluation system package contains the
following items.
ADSP-2189M EZ-KIT Lite board
Power cable with 7.5V DC power supply
RS-232 serial port 9-pin cable
CD containing:
!VisualDSP++ for 16-bit processors with a limited license
!ADSP-2189M EZ-KIT Lite debug software
!Example programs
!ADSP-2189M EZ-KIT Lite Evaluation System Manual (this
document)
If any item is missing, contact the vendor where you purchased your
EZ-KIT Lite or contact Analog Devices, Inc.
The EZ-KIT Lite evaluation system contains ESD
(electrostatic discharge) sensitive devices. Electro-
static charges readily accumulate on the human
body and equipment and can discharge without
detection. Permanent damage may occur on devices
subjected to high-energy discharges. Proper ESD
precautions are reco mmended to avoid performance
degradation or loss of functionality. Store unused
EZ-KIT Lite boards in the protective shipping
package.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 1-3
Getting Started
System Requirements
For correct operation of the VisualDSP++ software and the EZ-KIT Lite,
your computer must have the minimum configuration:
Installation Tasks
The following task list is provided for the safe and effective use of the
ADSP-2189M EZ-KIT Lite. Follow these instructions in the presented
order to ensure correct operation of your software and hardware.
1. EZ-KIT Lite hardware setup VisualDSP++ and EZ-KIT Lite soft-
ware installation
2. VisualDSP++ and EZ-KIT Lite software installation
3. VisualDSP++ license installation
Windows® 98, ME, 2000, XP Windows NTTM
Windows 98, ME, 2000, XP Windows NT 4.0, Service Pack 3 or later
Pentium processor 166MHz or faster Pentium processor 166MHz or faster
100 MB available space 100 MB available space
16 MB RAM 16 MB RAM
VGA Monitor and color video card VGA Monitor and color video card
CD-ROM CD-ROM
Installation Tasks
1-4 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Setting Up EZ-KIT Lite Hardware
The ADSP-2189M EZ-KIT Lite board is designed to run outside your
personal computer as a stand-alone unit. You do not have to remove your
computer case.
To connect the EZ-KIT Lite board:
1. Remove the EZ-KIT Lite board from the package. Be careful when
handling the boar d to avoid the di scharge of static electricity,
which may damage some components.
2. Connect the RS-232 cable to an available Comm Port on the PC
and to P8 on the ADSP-2189M evaluation board.
3. Plug the provided cord into a 120-Volt AC receptacle and plug the
connector at the other end of the cable into P7 on the evaluation
board.
Visually verify that all of the LEDs light up briefly. The power
(green) LED remains. If the LED does not light up, check the
power connections.
The EZ-KIT Lite evaluation system contains ESD
(electrostatic discharge) sensitive devices. Electro-
static charges readily accumulate on the human
body and equipment and can discharge without
detection. Permanent damage may occur on devices
subjected to high-energy discharges. Proper ESD
precautions are reco mmended to avoid performance
degradation or loss of functionality. Store unused
EZ-KIT Lite boards in the protective shipping
package.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 1-5
Getting Started
To configure your board to take advantage of the audio capabilities of the
demos, use the following procedure.
1. Plug a set of self-powered computer speakers into jack J2 on the
board. Turn on the speakers and set the volume to an adequate
level.
2. Connect the line out of an electronic audio device to jack J1 on the
board. Set jumper JP3 to LINE.
3. Open Jumper JP2 to GND to enable the AD73322 codec. (This is
the board default).
This completes the hardware installation. For information about the
EZ-KIT Lite hardware design, refer to “Board Layout” on page 3-3.
Installing VisualDSP++ and EZ-KIT Lite Software
This EZ-KIT Lite comes with the latest version of VisualDSP++ for 16-bit
processors. VisualDSP++ installation includes EZ-KIT Lite installations.
To install VisualDSP++ and EZ-KIT Lite software:
1. Insert the VisualDSP++ installation CD into the CD-ROM drive.
2. If Autoplay is enabled on your PC, you see the Install Shield Wiz-
ard Welcome screen. Otherwise, choose Run from the Start menu,
and enter D:\ADI_Setup.exe in th e Open field, where D is the name
of your local CD-ROM drive.
3. Follow the on-screen instructions to continue installing the
software.
4. At the Custom Setup screen, select your EZ-KIT Lite from the list
of available systems and choose the installation directory.
Click an icon in the Feature Description field to see the selected
system’s description. When you have finished, click Next.
Installation Tasks
1-6 ADSP-2189M EZ-KIT Lite Evaluation System Manual
5. At the Ready to Install screen, click Back to change your install
options, click Install to install the software, or click Cancel to exit
the install.
6. When the EZ-KIT Lite installs, the Wizard Completed screen
appears. Click Finish.
Installing VisualDSP++ License
To install the VisualDSP++ license:
1. Locate the serial number provided on the sticker affixed to the CD
sleeve and the registration form.
2. From the Start menu, choose Programs, Analog Devices, Visu-
alDSP++ 3.5 for 16-bit Processors, VisualDSP++ Environment.
3. The information screen asks if you would like to install a license.
Click Yes. The About VisualDSP++ screen appears.
4. Select the Licenses tab and click New.
5. In the Install a New License dialog that opens, select Single User.
"Please note that the VisualDSP++ software that comes with your
EZ-KIT Lite is a demo version that limits executable file size to 8K
bytes. This license lets you run EZ-KIT Lite sessions only. Simula-
tion and emulation are not supported. For a full license, contact
your local Analog Devices Sales representative.
6. Fill in the tools serial number in the field provided exactly as it
appears on your CD sleeve or registration form and click Next. An
information window notifies of successful license installation.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-1
2 USING EZ-KIT LITE SOFTWARE
The combination of the EZ-KIT Lite board and the monitor software
operate as a target for the VisualDSP++ debugger. The debugger lets you
view the ADSP-2189M processor’s registers and memory and perform sev-
eral debugging activities, such as setting breakpoints, stepping through
code, and plotting a range of memory.
The information in this chapter is organized as follows.
“EZ-KIT Lite License Restrictions” on page 2-2
Describes the restrictions of the VisualDSP++ license shipped with
the EZ-KIT Lite.
“Memory Map” on page 2-2
Defines the ADSP-2189M EZ-KIT Lite board’s memory map.
“Standard Operations” on page 2-3
Covers the standard operation of the EZ-KIT Lite board.
“Using EZ-KIT Lite VisualDSP++ Interface” on page 2-11
Describes the facilities of the EZ-KIT Lite graphical user interface.
EZ-KIT Lite License Restrictions
2-2 ADSP-2189M EZ-KIT Lite Evaluation System Manual
EZ-KIT Lite License Restrictions
The license shipped with the EZ-KIT Lite imposes the following
restrictions.
The size of a user program is limited to 8 KB of the ADSP-2189M
processor’s internal memory space.
No connections to Simulator or Emulator sessions are allowed.
Only one EZ-KIT Lite board can be connected to the host PC and
debugged at a time.
The EZ-KIT Lite hardware must be connected and powered up in
order to use VisualDSP++ with a kit license.
Memory Map
The ADSP-2189M EZ-KIT Lite board contains 80K bytes configured as
16K x 24 program memory and 16K x 16 of internal SRAM that can be
used for either program or data storage. Table 2-1 shows the memory map
of the ADSP-2189M EZ-KIT Lite. The configuration of on-chip SRAM
is detailed in the ADSP-2189 Microcomputer Data Sheet.
Table 2-1. EZ-KIT Lite Memory Map
Start Address End Address Content
PM 0x00000 0x0002F Interrupt vector table
PM 0x00030 0x017FF Available for user code
PM 0x01800 0x03FFF Reserved for monitor code
PM 0x20000 0x3FFF Available for user code and up to four overlay pages
DM 0x00000 0x03AFF Available for user data and up to six overlay pages
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-3
Using EZ-KIT LITE Software
Standard Operations
This section covers the standard operation of the EZ-KIT Lite board: the
default settings and IO capabilities of the on-board components, board
power-up, and on-board monitor program.
Default Settings
After you have installed the board and utility software, your PC and
EZ-KIT Lite have the default settings shown in Table 2-2. You can
change the settings in the dialog box that appears the first time you start
VisualDSP++.
DM 0x03B00 0x03DFF Reserved for monitor data
DM 0x03E00 0x03FFF Available for user data and up to six overlay pages
Table 2-2. User Configurable EZ-KIT Lite Settings
Selection Default Setting
Comm Port Comm 1
Baud rate 57600
Table 2-1. EZ-KIT Lite Memory Map
Start Address End Address Content
Standard Operations
2-4 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Input and Output Devices
The board’s IO component are detailed in the following sections.
“Flags” on page 2-4
“Interrupts” on page 2-4
“Serial Ports” on page 2-5
Flags
The ADSP-2189M processor has one asynchronous FLAG IO pin, FL1. The
pin is connected to the amber FL1 LED. This lets you visually inspect
states of your program. The other nine programmable flags (PFs) connect
to the expansion area.
Interrupts
The ADSP-2189M EZ-KIT Lite has one external interrupt connected
through a push button switch, SW1. This corresponds to an external inter-
rupt, IRQE.
The external interrupts are controlled through the ICNTL and IMASK regis-
ters and are configured by modifying the interrupt vector table or through
instructions in user code. The ICNTL register also controls the interrupt
sensitivity between level and edge. To prevent an interrupt from being
masked, write to the IMASK register.
The monitor program running on the ADSP-2189M processor uses one
interrupt (Timer) for normal operation. When downloading your own
code through the monitor program, the timer interrupt vector is protected
and cannot be overwritten. If these vectors are overwritten, or the timer
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-5
Using EZ-KIT LITE Software
interrupt is masked in any way, the debugger is not able to communicate
with the host program. The following rules and restrictions should be fol-
lowed when using interrupts.
You cannot step into an interrupt
Interrupts are disabled when the user program is halted
The board cannot communicate with the host if interrupt nesting
is enabled.
If you do not require the supplied monitor program, a start-up rou-
tine that dynamically alters the Timer interrupt vector can be used.
This removes all monitor function.
Serial Ports
The ADSP-2189M DSP features two synchronous bi-directional Serial
Ports (SPORTs), SPORT0 and SPORT1. The SPORTs can operate at up to
1x clock frequency, providing each with a maximum data rate of
30 Mbit/sec. SPORT data can be automatically transferred to and from
on-chip memory using DMA.
SPORT0 is connected to the on-board AD73322 codec. The JP2 jumper can
be used to disable the codec. SPORT1 is connected to the RS-232 interface
and is used as a software Universal Asynchronous Receiver/Transmitter
(UART). Communications between the monitor and the host are through
SPORT1, which can be disabled withe the J6 jumper.
For more information on the Serial Ports, refe r to the ADSP-218x DSP
Hardware Reference.
POST Routines
Power On Self-Test (POST) routines are a series of standard tests and ini-
tializations that the ADSP-2189M EZ-KIT Lite performs on a power-on
reset. To perform a power-on reset, disconnect power to the board for at
Standard Operations
2-6 ADSP-2189M EZ-KIT Lite Evaluation System Manual
least three seconds and then reconnect power. The board automatically
resets (note that all the LEDs light up briefly). You may also reset the
board during operation through the DEBUG and RESET command in the
debugger. Both types of reset cause the DSP to reset to a known state. At
this point you should reload any programs you were working on.
Table 2-3 summarizes the types of resets.
Memory Checks
The monitor program performs some standard memory checks on Flash
memory and internal SRAM. The Flash memory test consists of verifying
a number in memory. If the monitor code is corrupted, the monitor may
crash before reaching the actual program code.
UART Check and Initialization
The software UART check is done when it attempts to connect to the
EZ-KIT Lite through a Transmitted Loop Back routine. This UART test
is performed by the host after the POST is complete. In this test, the host
sends the UART test protocol. This protocol specifies the number of bytes
that are transmitted to the EZ-KIT Lite board and instructs the board to
echo the byte stream back to the host. This test determines whether the
EZ-KIT Lite board is set to the correct baud rate and verifies the external
connections between the board and the host.
Table 2-3. Post Routines
Routine Power-On-Reset Rese t Du r i n g O p e r at i o n
Fla s h c h ec k Yes No
AD73322 check Yes No
Initializations Yes Yes
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-7
Using EZ-KIT LITE Software
On power up, the EZ-KIT Lite board defaults to a baud rate of 57600
baud with 8 data bits, 1 stop bit, and no parity. To change this rate, wait
for the POST routine to complete and then use the Settings, Baud Rate
command in the VisualDSP++. Note that setting the baud rate to a lower
number can significantly slow the board’s re sponse to all debug activities.
Different baud rates depend upon your application’s type: for real-time
interrupt driven programs, a lower baud rate setting slows performance,
but the timer interrupt occurs less frequently. This gives your program a
larger share of the processor’s resources.
AD73322 Codec Check and Initialization
On reset, the AD73322 codec is inactive. An initialization routine initial-
izes the codec by sending a series of command words through the
SPORT0 TX interrupt. Once the commands have been sent and the
AD73322 initialized, it begins transmitting the clock, which synchronizes
data transfers to and from the DSP. Once this bit goes “High”, the
AD73322 is ready for standard communication over SPORT0.
Monitor Program Operations
The monitor program runs on the EZ-KIT Lite board as part of the DSP
executable and provides the ability to download, debug, and run user pro-
grams. The VisualDSP++ debugger is the interface for the monitor
program. Using the EZ-KIT Lite as a target with the debugger lets you
operate the board remotely.
There are three main components of the monitor program:
“Halt loop”
“UART ISR”
“Command Processing”
Standard Operations
2-8 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Halt loop
The monitor program idles in the halt loop when the program is not run-
ning user code. While there, you can READ and WRITE memory and
registers, download programs, set breakpoints, change the UART’s baud
rate, and single step through your code. To enter the halt loop from code,
you must suspend or stop the code, using either a breakpoint or a HALT
instruction. At this point, the halt loop polls the UART. With every char-
acter received from the UART, the command-processing kernel verifies
whether a full command has been received. If a full command has been
received, the kernel processes the command; otherwise, control is returned
to the halt loop to wait for more characters. The only method of executing
your code once the halt loop has been entered is to send a RUN or SINGLE
STEP command in the debugger.
UART ISR
The UART Interrupt Service Routine (Timer ISR) is entered when your
code is running, but the host is still interacting with the board. As the host
sends bytes, the UART ISR takes the data stream from the UART and
builds the command. As with the halt loop, each character received is
passed to the command-processing kernel. Unlike the halt loop, the mon-
itor returns to your code immediately after the interrupt is serviced.
#The following restrictions should be observed to ensure correct
board operation.
The host loses contact with the monitor while the user program is
running if the user program disables the Timer interrupt or changes
the Timer interrupt vector.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-9
Using EZ-KIT LITE Software
The host loses contact with the monitor while the program is run-
ning and it enters an Interrupt Service Routine when nesting is
turned on.
The host cannot halt with the debugger’s Debug, Halt command if
global IRQ enable is disabled; however, breakpoints will work.
Command Processing
Command processing, initiated from either the UART ISR or the Halt
loop, is done in the command-processing kernel. This kernel parses the
commands and executes the instructions. If the instruction requires data
to be sent back to the host, the kernel initiates the response.
Software Breakpoints
The ability to stop the execution of code and examine processor registers
and memory is extremely helpful when debugging code. Note that the
debugger automatically inserts breakpoints at the function main(), when
the Settings, Run To Main command is checked, and at the _exit
instruction.
AD73322 Codec Dual Analog Front End
The monitor does not initialize the AD73322 codec. This provides you
with greater flexibility to experiment with custom codec operations. Sim-
ple code examples, such as TalkThrough, are provided with your EZ-KIT
Lite to demonstrate some basic codec operations. It is recommended that
you model your code using these examples.
"Once the codec has been initialized, you must use a hard reset to
re-initialize it.
Standard Operations
2-10 ADSP-2189M EZ-KIT Lite Evaluation System Manual
For more information about the AD73322 codec, go to
http://www.analog.com/Analog_Root/productPage/productH-
ome/0,2121,AD73322,00.html.
AD73322 Codec Transmissions
After initialization, the AD73322 codec generates the clock used to trans-
fer data across SPORT0. The ADSP-2189M DSP initiates all transmissions
with the AD73322 device by sending a synchronization pulse. Even
though the AD73322 transmits the data clock, it may not be ready for
normal operation.
Initialization of the AD73322 codec is slightly different than that of other
codecs. Initialization is performed by sending at lest 8 and up to 16 con-
trol words contained in a circular buffer to the AD73322 codec. These
control words correspond to the AD73322s control registers
Athrough H.This is usually done via the SPORT0 TX interrupt routine.
Once the codec is initialized, normal operation mode is entered, and the
TX interrupt routine is never used again until the device is reset. During
normal operation, the RX interrupt of SPORT0 is used. As each datum is
received from the codec, an interrupt is generated. This interrupt is the
SPORT0 RX interrupt. Inside the RX interrupt, datum are moved from the
RX buffer into the users buffer (generally, this is a circular buffer). At that
same RX interrupt, the codec is ready to receive data from the DSP. The
DSP then moves the data (from the users circular buffer) into SPORT0s TX
buffer and clocks it into the codec.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-11
Using EZ-KIT LITE Software
Using EZ-KIT Lite VisualDSP++ Interface
This section outlines the process of developing, loading, and running the
ADSP-2189M EZ-KIT Lite example programs supplied with Visu-
alDSP++ software:
“Developing Programs” on page 2-11
“Starting Visual DSP++” on page 2-12
“Debugging With EZ-KIT Lite” on page 2-14
“Loading Programs” on page 2-14
“Example Programs” on page 2-16
For detailed information about VisualDSP++ features and operation, see
the VisualDSP++ 3.5 User’s Guide for 16-Bit Processors and online Help.
Developing Programs
A typical program development cycle using the VisualDSP++ environment
includes the following steps:
1. Creating a new project file
2. Setting target processor project options
3. Adding and editing project source files
4. Customizing the project build options
5. Building a debug version of the project
6. Debugging the project
7. Building a release version of the project
Using EZ-KIT Lite VisualDSP++ Interface
2-12 ADSP-2189M EZ-KIT Lite Evaluation System Manual
By following these steps, your DSP application programs build consis-
tently and accurately with minimal project management. Note the
following restrictions of this system:
#The size of the DSP executable that you can build using the
EZ-KIT Lite tools is limited to 8K.
#Do not run more than one ADSP-2189M EZ-KIT Lite session in
the debugger at any one time. You may run an EZ-KIT Lite session
and a simulator or ICE session at the same time or you can open
two debugger interfaces to run more than one EZ-KIT Lite session.
Starting Visual DSP++
After the VisualDSP++ software and license have been installed, click the
Windows Start menu.
1. Select the Start button on the Windows taskbar, then choose Pro-
grams, Analog Devices, VisualDSP++ 3.5 for 16-bit Processors,
VisualDSP++ Environment.
If you are running VisualDSP++ for the first time, go to step 4. If
you already have existing sessions, the Session List dialog box
appears on the screen.
2. Click New Session.
3. The New Session dialog box, shown in Figure 2-1, appears on the
screen.
4. In Debug Target, choose EZ-KIT Lite (ADSP-218x).
5. In Processor, choose the appropriate processor, ADSP-2189
6. Type a new target name in Session Name or accept the default
name.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-13
Using EZ-KIT LITE Software
7. Click OK to return to the Session List . Highlight the new session
and click Activate.
8. A message appears instructing you to press the Reset butto n. Click
OK and press the reset button on the board.
All the LEDs light up and after a brief delay (less than 2 seconds),
all of the LEDs go dark except for the power LED. Verify that the
LEDs do dark (except for the power LED) before going to the next
step.
9. Click OK
The initialization completes and the disassembly window opens.
The code in the disassembly window is the EZ-KIT Lite monitor
program.
Figure 2-1. Ne w Session Dialog Box
Using EZ-KIT Lite VisualDSP++ Interface
2-14 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Debugging With EZ-KIT Lite
The VisualDSP++ User’s Guide for 16-Bit Processors and online Help con-
tains most of the information you need to operate the VisualDSP++
debugger with the EZ-KIT Lite evaluation board. Because the manual was
written using a simulator as a target, there are some differences and restric-
tions in the debugger operation when co nnected to a hardware target.
Loading Programs
Because you are loading programs into a hardware target, the load process
takes a slightly longer period of time then loading in the simulator. Wait
for the Load Complete message in the Output window before you
attempt any debug activities.
To load a program, use the following procedure:
1. From the File menu, select Load.
The Open a Processor Program dialog box appears.
2. Navigate to the folder where your DSP executable file resides.
The demos supplied with the EZ-KIT Lite are located in the
..\218x\EZ-KITs\2189M\Examples subdirectory of your Visu-
alDSP++ installation directory.
3. Select the file and click Open.
The file loads and the Load Complete message appears in the Out-
put window when the load process has completed.
Registers and Memory
To see current values in registers and memory, use the F12 key or the
Window, Refresh command.
#Register and memory contents may not be changed while the user
program is running.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-15
Using EZ-KIT LITE Software
Setting Breakpoints and Stepping
The debugger automatically inserts breakpoints at the function main(),
when the Settings, Run To Main command is checked, and at the _exit
instruction.
#Breakpoints set in the last three instructions of a do-loop are
allowed, but this causes improper debugger operation.
Resetting EZ-KIT Lite Board
The EZ-KIT Lite board can be reset with the push button, SW2, switch on
the board or with the Debug, Reset command in the debugger. After per-
forming a reset, reload any programs you were running. The Debug,
Restart command also resets the processor. The processor, however,
retains all debug information and memory contents.
The following sequence must be used when starting the debugger:
1. Start VisualDSP++ from the Windows Start menu.
The debugger starts and the Target message Hit Reset Button
appears.
2. Press the reset button. Wait approximately three se conds for the
LEDs (except the power LED) to go dark.
3. Click OK.
#Do not use the reset button while the debugger is open unless the
debugger requested to do so.
Using EZ-KIT Lite VisualDSP++ Interface
2-16 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Example Programs
Example programs are included with the ADSP-2189M EZ-KIT Lite to
demonstrate various capabilities of the evaluation board. These programs
are installed with the EZ-KIT Lite software and can be found in \…\Visu-
alDSP\218x\EZ-KITs\2189M\Examples. Please refer to the readme file
provided with each example for more information.
#Do not run more than one ADSP-2189M EZ-KIT Lite session in
the debugger at any one time. You may run an EZ-KIT Lite session
and a simulator or ICE session at the same time or you can open
two debugger interfaces to run more than one EZ-KIT Lite session.
Convolution
Reads sample input from a memory buffer, performs a convolution filter
on the data, then stores the manipulated data in an output buffer.
IRQE
Demonstrates a simple external interrupt handler for the user interrupt
button, SW1.
Overlay
Demonstrates the ADSP-2189M overlay memory capabilities. Use the
Register, Program Control command to View overlay activity.
Primes
Calculates the first 20 prime numbers and sends them to the output win-
dow. The printf function is used in this program.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 2-17
Using EZ-KIT LITE Software
TalkThrough
Demonstrates how to use a circular buffer, which spans five pages of the
ADSP-2198M’s DM overlay memory as input and then sends the data
back out to the Line Out of the AD73322 codec. This program is inter-
rupt intensive and performs best at a low baud rate (9600).
Timer
Demonstrates how to hook into the timer interrupt handler. The timer
interrupt generates a 1-second on/off pulse on the FL1 LED. The idea
behind the timer interrupt is that the monitor program sets a timer at
3 times the baud rate and expects to receive 10 bits
(3xBAUDx10=1second). When every counter has expired, the reset
FL1 is executed.
#You can not set breakpoints in the interrupt routine if using the
ADSP-2189M monitor program
The TPERIOD register contains the current period of the timer. It is set to 3
times the baud rate. The timer is used as a baud rate generator so the
smaller the period, the faster the interrupt will occur. It is not advisable to
change the TPERIOD register since this will change the monitors baud rate,
and communications is lost with the host.
"This interrupt will continue to be vectored to even after the pro-
gram has halted.
Using EZ-KIT Lite VisualDSP++ Interface
2-18 ADSP-2189M EZ-KIT Lite Evaluation System Manual
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-1
3 EZ-KIT LITE HARDWARE
REFERENCE
This chapter discusses the hardware design of the ADSP-2189M EZ-KIT
Lite board. The following topics are covered:
“System Architecture” on page 3-2
Illustrates the configuration of the ADSP-2189M EZ-KIT Lite
board.
“EZ-KIT Lite Board Layout” on page 3-3
Shows the location and describes the function of the configuration
jumpers, switches, and user LEDs.
“Connectors” on page 3-7
Shows the location and describes the function of the expansion
connectors.
“Designing EZ-ICE Compatible Systems” on page 3-14
Outlines the ICE emulator theory of operation to aid your
EZ-ICE compatible system designs.
System Architecture
3-2 ADSP-2189M EZ-KIT Lite Evaluation System Manual
System Architecture
This section describes the processor configuration on the EZ-KIT Lite
board (see Figure 3-1).
Figure 3-1. ADSP-2189M EZ-KIT Lite System Block Diagram
a
ADSP-2189M
FLASH MEMORY
4 MBIT (512Kx8) a
AD73322 CODEC
RS-232
SERIAL PORT
INTERFACE
BREAD BOARD AREA
EXPANSIONCONNECTOR
a
EZ-ICE PORT
HEADER
CLOCK
AND
RESET LOGIC
LEDS FOR
RESET/,FL1,
DR1,AND DT1
PUSHBUTTON
SWITCH FOR
DSP INTERRUPT
PUSHBUTTON
SWITCH FOR
RESET
SWITCH FOR
DSP MODE
PINS
LINE IN/LINE OUT
MIC
CONNECTORS
POWER
REGULATORS
AND
DECOUPLING
2.5VDC
3.3VDC
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-3
EZ-KIT Lite Hardware Reference
Board Layout
Figure 3-2 shows the layout of the EZ-KIT Lite board. The figure high-
lights the locations of the major components and connectors. Each of
these major components is described in the following sections
Flash Memory
The flash memory provide up to 4M x 8 bits of program storage that can
be loaded by the ADSP-2189M when it is programmed to boot from the
BMS (Boot Memory Select) and Mode A, B, C, and D switches. After the
ADSP-2189M processor is reset, the BDMA feature is used to load the
first 32 words of program memory from the byte memory space. Program
execution is held off until all 32 words are loaded. You can change the
mode of operation using the toggles on the mode DIP switch (SW3).
Refer to the ADSP-218x DSP Hardware Reference and data sheet for more
information on the processor’s boot modes.
Figure 3-2. EZ-KIT Lite Board Layout
Board Layout
3-4 ADSP-2189M EZ-KIT Lite Evaluation System Manual
User LEDs
LED1 LED is a green light emitting diode which is on whenever the
board has power.
LED2 is an amber light emitting diode which is on whenever there is
data transmitted via the serial port.
LED3 is an amber light emitting diode, which is “ON” whenever data
is received via the serial port.
LED4 is an amber light emitting diode, which is controlled by the
FL1 output of the ADSP-2189M processor. Software can control
the state of this indicator by writing to an internal register.
LED5 is a red light emitting diode which indicates whether RESET is
asserted.
Switches
The SW1 switch is the interrupt push button switch. Pushing this
button causes the ADSP-2189M to receive an IRQE interrupt input.
The processor then executes the current IRQE interrupt handler
software if the interrupt is enable d and the IRQE interrupt vector is
in place. The interrupt switch output is de-bounced electronically
to prevent multiple interrupts due to mechanical contact bounce.
The SW2 switch is the reset push button switch. Pushing this but-
ton causes the ADSP-2189M processor and the AD7322 codec to
enter the hardware reset state and remain there until it is released.
The switch outputs are de-bounced electronically to prevent multi-
ple transitions due to mechanical contact bounce.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-5
EZ-KIT Lite Hardware Reference
Mode Selection Switch
The ADSP-2189M processor can be operated in several modes. While in
most cases you set these modes in software, the EZ-KIT Lite board pro-
vides you with a set of switch settings, SW3, that do this for you. The
following graphics and their corresponding descriptions describe these
operating modes.
"Configuration types 1, 4, and 6 are considered standard operating
settings. Use of these settings allows for easier design and better
memory management.
Table 3-1. Mode Selection Switches
BDMA feature is used to load the first 32 program memory
words from the byte memory space. Program execution is held
off until all 32 words have been loaded. The ADSP-2189M is
configured in Full Memory Mode.
N o Automatic boot operations occur. P rogram ex ecution starts
at external memory location 0. The ADSP-2189M is config-
ured in Full Memory Mode. BDMA can still be used but the
processor does not automatically use or wait for these opera-
tions.
SW3
ON
OFF
CONFIGURATION TYPE 1
(FACTORY DEFAULT)
8MODED=0
7MODEC=0
6MODEB=0
5MODEA=0
1
2
4
3
SW3
ON
OFF
CONFIGURATION TYPE 2
8MODED=0
7MODEC=0
6MODEB=1
5MODEA=0
1
2
4
3
Board Layout
3-6 ADSP-2189M EZ-KIT Lite Evaluation System Manual
BDMA feature is used to load the first 32 program memor y
words from the byte memory space. Program execution is held
off until all 32 words have been loaded. The ADSP-2189M is
configured in Host Mode. IACK has an active pull-down.
(Note : req ui re s ad di ti on al hard wa re) .
IDMA feature is used to load any internal memory as desired.
Program execution is held off until internal program memory
location 0 is written to. The ADSP-2189M is configured in
Host Mode. IACK has an active pull-down.
Table 3-1. Mode Selection Switches
SW3
ON
OFF
CONFIGURATION TYPE 3
8MODED=0
7MODEC=0
6MODEB=1
5MODEA=0
1
2
4
3
ON
OFF
8MODED=0
7MODEC=1
6MODEB=0
5MODEA=0
1
2
4
3
SW3
ON
OFF
CONFIGURATION TYPE 4
8MODED=0
7MODEC=1
6MODEB=0
5MODEA=1
1
2
4
3
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-7
EZ-KIT Lite Hardware Reference
Connectors
This section describes headers and connectors supplied with this EZ-KIT
Lite.
The J1 connector is a 1/8 inch (3.5 mm) stereo jack. This jack is
used to bring either line level or microphone audio signals into the
board.
The J2 connector is a 1/8 inch (3.5 mm) stereo jack. This jack is
used to bring out line level audio signals from the board.
BDMA feature is used to load the first 32 program memory
words from the byte memory space. Program execution is held
off until all 32 words have been loaded. The ADSP-2189M is
configured in Host Mode; IACK requires an external
pull-down. (Note: Requires additional hardware).
IDMA feature is used to load any internal memory as desired.
Program execution is held off until internal program memory
location 0 is written to. The ADSP-2189M is configured in
Host Mode. IACK requires an external pull-down.
Table 3-1. Mode Selection Switches
SW3
ON
OFF
CONFIGURATION TYPE 5
8MODED=1
7MODEC=1
6MODEB=0
5MODEA=0
1
2
4
3
SW3
ON
OFF
CONFIGURATION TYPE 5
8MODED=1
7MODEC=1
6MODEB=0
5MODEA=1
1
2
4
3
Connectors
3-8 ADSP-2189M EZ-KIT Lite Evaluation System Manual
The JP1 connector is a 2-pin header that causes the codec to be
directly coupled when a shorting jumper is installed or causes the
codec to be indirectly coupled when a shorting jumper is not
installed. Default is not installed.
The JP2 connector is a 2-pin header that disables the codec, when a
shorting jumper is installed, and enables the coded, when a short-
ing jumper is not installed. Default is not installed (codec enabled).
The JP3 connector is a 6-pin header. It is used to configure input
jack J1 for either line level or microphone input. The center pin in
each group of three is connected to one of the AD73322 codec’s
input pins. Jumpers (also known as shunts or shorting links) can be
used to connect these pins to either the output of the microphone
amplifier or to the output of the line level input filter.
The JP4 connector is a 2-pin header that changes the line in gain
from 47 to 400 when a shorting jumper is installed. Default is not
installed.
The JP5 connector is a 2-pin header that changes the line in gain
from 47 to 400 when a shorting jumper is installed. Default is not
installed.
"The JP4 and JP5 connectors should have the same configuration.
The JP6 connector is a 2-pin header that disables the serial port
when a shorting jumper is installed and enables the serial port
when the jumper is not installed. Default is not installed.
The JP7 connector is a 2-pin header that should have a shorting
jumper installed for Mode D operation. Default is not installed.
The JP8 connector is a 3-pin header that varies the I/O voltage to
the DSP. For 2.5 volt operation, the jumper is placed on 2 and 3.
For 3.3 volt operation, the jumper is placed on 1 and 2.
The JP9 connector is restricted.
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-9
EZ-KIT Lite Hardware Reference
The expansion port connectors (P3, P4, and P5) are sites for 50-pin
header connectors. These connectors can be used to access the
ADSP-2189M signals for expansion or test purposes. For more
information, see “Expansion Port Connectors” on page 3-9.
The P6 connector is a 14-pin header connector connects to an
ADDS-218x EZ-ICE in-circuit emulator. Pin 7 should be removed
for keying purposes. For more information, see “EZ-ICE Connec-
tor” on page 3-12 .
The P7 connector is a jack for a 6.35 mm cylindrical plug. It is used
to supply power to the board. The center pin of the jack is 2.5 mm
diameter and should connect to the positive side of the power
source. The outer sleeve of the mating plug must be ground.
The P8 connector is a male 9-pin D-Sub connector. It is used to
communicate with a host computer using RS-232 signal levels and
asynchronous serial protocols.
Expansion Port Connectors
Three expansion port connectors (P3, P4, and P5) provide access to the
ADSP-2189M processor’s interface pins, letting you watch data transmis-
sions. The P3, P4, and P5 connectors are sites for 50-pin header
connectors. These connectors can be used to access the ADSP-2189M sig-
nals for expansion or test purposes. In addition, all interrupts, bus signals,
and PWT_EVENT signals are available through the expansion port. The pin
numbers on these connectors are arranged as follows.
Figure 3-3. Expansion Connector
Connectors
3-10 ADSP-2189M EZ-KIT Lite Evaluation System Manual
The signals available on these pins are shown in Table 3-2. All numbered
pins (2–50) are connected to ground.
Table 3-2. ADSP-2189M Pin Names
P3 P4 P5
Pin
Number Sig n a l Nam e Pin
Number Sign a l Nam e Pin
Number Sign a l Nam e
1A0 1WR 1IRQWE/PF4
3A1/IAD0 3RD 3IRQL0/PF5
5A2/IAD1 5BMS 5IRQL1/PF6
7A3/IAD2 7DMS 7IRQ2/PF7
9A4/IAD3 9PMS 9DT0
11 A5/IAD4 11 IOMS 11 TFS0
13 A6/IAD5 13 CMS 13 RFS0
15 A7/IAD6 15 CLKOUT 15 DR0
17 A8/IAD7 17 D8 17 SCLK0
19 A9/IAD8 19 D9 19 DT1
21 A10/IAD9 21 D10 21 TFS1
23 A11/IAD10 23 D11 23 RFS1
25 A12/IAD11 25 D12 25 DR1
27 A13/IAD12 27 D13 27 SCLK1
29 D0/IAD13 29 D14 29 RESET
31 D1/IAD14 31 D15 31 PWDACK
33 D2/IAD15 33 D16 33 BGH
35 D3/IACK 35 D17 35 MODE_A/PF0
37 D4/IS 37 D18 37 MODE_B/PF1
39 D5/IAL 39 D19 39 PWD
41 D6/IRD 41 D20 41 MODE_C/PF2
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-11
EZ-KIT Lite Hardware Reference
#External port loading can effect external bus speed and
performance.
Power Connector
The power connector, J4, supplies DC voltages to the EZ-KIT Lite board.
Table 3-3 summarizes the power connector pinout. If you do not use the
power supply provided with your EZ-KIT Lite board, replace it with one
that has the connections shown in Table 3-3.
43 D7/IWR 43 D21 43 MODE_D/PF3
45 BG 45 D22 45 FL0
47 BR 47 D23 47 FL1
49 GND 49 GND 49 FL2
Table 3-3. Power Connection
Terminal Connection
Center pin 7.5 VDC @ 4 amps
Outer ring GND
Table 3-2. ADSP-2189M Pin Names (Cont’d)
P3 P4 P5
Pin
Number Sig n a l Name Pin
Number Sign al Name Pi n
Number Sign a l Nam e
Connectors
3-12 ADSP-2189M EZ-KIT Lite Evaluation System Manual
European Power Supply Connections
Table 3-4 summarizes the European power supply specifications.
AD73322 Codec Connectors
When the AD73322 codec is enabled on the EZ-KIT Lite board, you can
access the audio input and output jacks on the board. Each of the audio
connectors are stereo mini jacks and accept standard commercially avail-
able stereo mini plugs.
The Microphone/Line_in Input jack connects to the LINE_IN_L (left) and
LINE_IN_R (right) pins or the MIC1 and MIC2 of the AD73322 SoundPort
Stereo codec, depending on the setting of jumpers JP3.
The LINE Output jack connects to the left (L) LINE_OUT and right (R)
LINE_OUT pins of the code c.
EZ-ICE Connector
The ADSP-218x EZ-ICE Emulator aids the hardware debugging of an
ADSP-2189M system. The emulator consists of hardware, host computer
resident software, and the target board connector. The ADSP-2189M
integrates on-chip emulation support with a 14-pin ICE-Port interface
Table 3-4. European Power Supply Connections
DC Voltage 8 to 10V D C
CURRENT 4 amps maximum rating
RIPPLE 500 mV rms (Max @ full load
DC Connector
Type Switchcraft 760 style FEMALE
Plug Size 5.5 (OD) X 2.5 (ID) X 9.5 (length) millimeters
Polarity Center is positive (inside terminal)
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-13
EZ-KIT Lite Hardware Reference
(Figure 3-4). This interface provides a simpler target board connection
that requires fewer mechanical clearance considerations than other
ADSP-2100 EZ-ICEs. The ADSP-2189M device need not be removed
from the target system when using the EZ-ICE, nor are any adapters
needed. Due to the small footprint of the EZ-ICE connector, emulation
can be supported in final board designs.
The EZ-ICE performs provides:
In target operation
Setting up to 30 breakpoints
Single stepping or full speed operation
Examining and altering registers and memory values
Uploading and downloading PC functions
Instruction-level emulation of program booting and execution
Complete assembly and disassembly of instruct ions
C source-level debugging
The EZ-ICE header is a 14-pin header with the connections shown in
Figure 3-4.
Designing EZ-ICE Compatible Systems
3-14 ADSP-2189M EZ-KIT Lite Evaluation System Manual
Designing EZ-ICE Compatible Systems
This section describes the ADSP-218x family EZ-ICE theory of operation
to aid your EZ-ICE compatible system designs.
When power is applied to the board, a reset circuit holds the processor in
RESET for approximately 200 ms. RESET is then de-asserted and the proces-
sor begins the boot process. The Mode A-D pins are set up by default to
boot the processor from the byte-wide memory interface, which is con-
nected to the Flash EPROM.
The hardware consists of a printed circuit board measuring 3.5 inches by
5.5 inches. Assembled onto the printed circuit board are: an
ADSP-2189M digital signal processor, a Flash EPROM, an AD73322
codec, and various support circuits and connectors. The board is a com-
plete signal processing system designed to demonstrate the capabilities of
the ADSP-2189M digital signal processor. It can also be used as a plat-
form to develop new applications targeting ADSP-2189M processors.
Figure 3-4. EZ-ICE 14-pin Header
ADSP-2189M EZ-KIT Lite Evaluation System Manual 3-15
EZ-KIT Lite Hardware Reference
The EZ-KIT Lite board is an example of a minimum implementation of
an ADSP-2189M processor. The Flash EPROM is connected to the pro-
cessor via the Byte DMA Port. This interface uses only eight of the
24 data lines to carry data (D8 through D15). Eight of the spare data lines
(D16 through D23) are used to provide additional address bits. This allows
the ADSP-2189M to address up to 32 Mbits (4 Mbytes) of memory. The
DSP is configured to boot from the Flash EPROM when RESET is
de-asserted or if power is applied to the board.
The AD73322 codec is connected to the DSP via SPORT0. This high speed
synchronous serial port carries all of the data, control, and status informa-
tion between the DSP and the codec. It is possible to disable the codec if
the serial port is to be used for another purpose. When the jumper (JP1) is
shorted, the codec is disabled and its signals are put in a high impedance
state.
The SPORT1 pins are used to communicate with the host PC via the
RS-232 interface (P8). The Flag In and Flag Out pins carry the receive
and transmit data. Software running on the DSP emulates a UART to
provide the proper protocol for asynchronous serial communications up to
a data rate of 115K bits per second.
Hardware Debugging
If the green LED fails to light, check your power connections. Verify that
your power supply has the proper size connector and that the polarity is
correct. The power supply voltage measured at the connector to the board
should be 8V to 10V DC. Also, make sure that there are no objects
beneath or on top of the board that may be causing a short circuit. Hit the
reset button (SW1) if the board appears to be operating improperly.
Designing EZ-ICE Compatible Systems
3-16 ADSP-2189M EZ-KIT Lite Evaluation System Manual
ADSP-2181 EZ-KIT Lite Evaluation System Manual A-1
A RESTRICTIONS
The following restrictions apply to release 1.1 of the ADSP-2189M
EZ-KIT Lite evaluation board. For information on any ADSP-2189M sil-
icon anomalies, see the anomaly sheet that accompanied this product.
1. Breakpoints set in the last three instructions of a do-loop are
allowed but cause your code to run incorrectly.
2. The host loses contact with the monitor while the user program is
running if the user program disables the Timer interrupt or changes
the Timer interrupt vector.
3. The host loses contact with the monitor while the program is run-
ning and in an ISR when nesting is turned on.
4. Do not use the Reset button while the debugger is open unless the
debugger requests you to do so. This causes the debugger to stop
communicating.
5. Do not run more than one ADSP-2189M EZ-KIT Lite session in
the debugger at any one time. You may run an EZ-KIT Lite session
and a simulator or ICE session at the same time or you can open
two debugger interfaces to run more than one EZ-KIT Lite session.
A-2 ADSP-2181 EZ-KIT Lite Evaluation System Manual
ADSP-2189M EZ-KIT Lite Evaluation System Manual B-1
B BILL OF MATERIALS
Ref e ren c e
Quantity
Desc ri pt io n
Manufacturer
Ref e ren c e
Desi gn
Ref e ren c e
1 2 0 OHMS 1/4W 5% 0.0QBK-ND RC05 R43, R44
2 1 3.3V RS232 TRANS-
CEIVER LTC1327CG SSOP28 U7
3 1 3.3 VOLT REGULATOR LM3940IMP-3.3 SOT223 VR2
4 1 2.5 VOLT REGULATOR TPS76325DBVT SOT23 VR3
5 1 37.5MHZ OSCILLA-
TOR EC1300HS-37.5M DIP8 U2
6 1 ADSP-2189 DSP ADSP-2189MKST-30
0LQFP100 U1
7 1 GP DUAL ANALOG
FRONT END AD73322AR SOIC28 U4
8 1 5V REGULATOR LM7805CT T0220 VR1
9 1 HEX INVER SCHMITT
TRIGGER 74LVC14AD SOIC14 U8
10 1AUDIO OP A M P AD8058AR SOIC8 U6
11 116.384 MHZ OSCILLA-
TOR EC1300HS-16384M DIP8 U5
12 1512K 3V FLASH AT49BV040 PLCC32 U3
13 110uF 25V 10% TAJD106K025R DCT18
14 21000pF 50V 5% 12065A102JATMA 1206 C11, C12
B-2 ADSP-2189M EZ-KIT Lite Evaluation System Manual
15 20.1uF 50V 10% 12065C104KAT1A 1206 C1, C19
16 10.47uF 16V 10% 1206YC474KAT1A 1206 C13
17 1VOLTA G E SU P E RVI-
SOR ADM708SAR SOIC8 U9
18 34.7uF 25V 10% TAJC475K025R CCT15–CT17
19 12.5MM POWER JACK SWC RAPC712 P7
20 1750MA RESETABLE
FUSE MINISMDC075-2 SMT F1
21 3LED AMBER LN1461C-TR SMT LED2–LED4
22 20 0.1uF 50V 10% 08055C104KAT 805 C6–C10, C14–
C18, C20–C29
23 110uF 16V 10% TAJC106K016R CCT10
24 322uF 16V 10% PCT3226CT-ND DCT11–CT13
25 12.00K 1/8W 1% CRCW1206-2001FRT
11206 R30
26 1SUPER FAST RECTI-
FIER ES1B SMA D2
27 2100 OHMS 100MW 5% CRCW0805-101JRT1 805 R11, R19
28 25.1K OHMS 1/8W 1% CR32-512F-T 1206 R14, R16
29 2240K OHMS 1/8W 1% CR32-244F-T 1206 R13, R18
30 247K OHMS 1/8W 5% CR32-473F-T 1206 R21, R22
31 30.33uf 35V 10% TAJA334K035R ACT3, CT5, CT9
32 2220pf 50V 10% 12065A221JAT2A 1206 C3, C4
33 2FERRITE BE AD BLM31P500SPT 1206 FB6, FB7
34 4FERRITE BE AD BLM11A601SPT 603 FB1–FB4
Ref e ren c e
Quantity
Description
Manufacturer
Ref e ren c e
Design
Ref e ren c e
ADSP-2189M EZ-KIT Lite Evaluation System Manual B-3
Bill Of Materials
35 1FERRITE CHOKE COIL PLM250S40T1 2020 FB5
36 1SILICON RECTIFIER S2A SMBJ D1
37 20.047UF 16V 10% 1206YC473KAT05 1206 C2, C5
38 19 PIN MALE DB9 787203-2 DB9 P8
39 14 POS. DIP SWITCH ADE04 DIP-4 SW3
40 11K OHMS 1/8W 5% CR32-102J-T 1206 R42
41 16 10K OHMS 1/8W 5% CRCW1206-103JRT1 1206 R3–R9, R23,
R35–R40,
R45–R46
42 6100K OHMS 1/8W 5% CRCW1206-104JRT1 1206 R29, R31–R34,
R41
43 333 OHMS 1/8W 5% NRC12J330TR 1206 R1, R2, R10
44 7680 OHMS 1/8W 5% CR32-681J-T 1206 R15, R17,
R24–R28
45 1LED RED P503CT-ND SMT LED5
46 1LED GREEN P504CT-ND SMT LED1
47 2MOMENTARY
SWITCH EVQ-QS205K 6mm SW1, SW2
48 51uF 25V 20% ECS-T1EY105R ACT2, CT4,
CT7, CT8,
CT14
49 6IDC 2X1 68001-402H IDC JP1, JP2, JP4,
JP5, JP6, JP7
50 1IDC 3X1 S1012-03 IDC JP8
51 1IDC 3X2 PTC03DAANR IDC JP3
Ref e ren c e
Quantity
Description
Manufacturer
Ref e ren c e
Design
Ref e ren c e
B-4 ADSP-2189M EZ-KIT Lite Evaluation System Manual
52 1IDC 7X2 PTC07DAAN IDC P6
53 23.5mm MINI STEREO
JACK ST-323-5 3.5mm J1, J2
Ref e ren c e
Quantity
Description
Manufacturer
Ref e ren c e
Design
Ref e ren c e
ADSP-2189M EZ-KIT Lite Evaluation System Manual I-1
IINDEX
A
AD73322 codec, -viii, 3-8
connectors, 3-12
dual analog front end, 2-9
enabled, 3-8
hardware reset, 3-4
initializing, 2-7, 2-10
input pins, 3-8
line out, 2-17
transmissions, 2-10
ADSP-2181 EZ-KIT Lite
hardware restrictions, A-1
ADSP-2189M EZ-KIT Lite
debugging, 2-14
default settings, 2-3
features, -viii
license restrictions, 2-2
memory map, 2-2
resetting board, 2-5
setting hardware, 1-4
system architecture, 3-2
VisualDSP++ interface, 2-11
ADSP-2189M processor
internal memory restrictions, 2-2
ADSP-2189M processors
mode selection, 3-5
analog
input, -viii
output, -viii
assembly, 3-13
asynchronous
pin (FL1), 2-4
serial protocols, 3-9
audio
configuring board, 1-5
input, -ix, 3-12
output, -ix, 3-12
signals, 3-7
automatic boot, 3-5
B
baud rate, 2-7, 2-8, 2-17
BDMA, 3-3, 3-5
bill of materials, B-1
board
architecture, 3-2
layout, 3-3
boot
memory select (BMS), 3-3
modes, 3-5
process, 3-14
booting
programs, 3-13
selecting modes, 3-5
INDEX
I-2 ADSP-2189M EZ-KIT Lite Evaluation System Manual
breakpoints, 2-15
restrictions, A-1
byte
memory space, 3-3, 3-5
C
circular buffer, 2-17
codecs
see AD73322 codec
comm port, 1-4
command processing, 2-9
configuring board for audio, 1-5
connecting board, 1-4
connectors
J1 (audio in), 1-5, 3-7
J2 (audio out), 1-5, 3-7
J6 (SPORT1), 2-5
JP1 (codec), 3-8
JP2 (codec), 1-5, 2-5, 3-8
JP3 (codec), 1-5, 3-8, 3-12
JP4 (line in gain), 3-8
JP5 (line in gain), 3-8
JP6 (serial port), 3-8
JP7 (shorting jumper), 3-8
JP8 (IO), 3-8
JP9 (restricted), 3-8
P3 (expansion), 3-9
P4 (expansion), 3-9
P5 (expansion), 3-9
P6 (EZ-ICE), 3-9
P7 (power), 1-4, 3-9
P8 (RS-232), 1-4, 3-9, 3-15
conventions, manual, -xvii
convolution filter, 2-16
copyright information, i-ii
customer support, -xii
D
data
clock, 2-10
transmissions, 3-9, 3-15
DEBUG command, 2-6
debugger, 2-7, 2-12, 2-15, A-1
debugging, 2-9, 2-14, 3-13
default settings, 2-3
developing programs, 2-11
development cycle, 2-11
disassembly, 3-13
DM overlay memory, 2-17
DMA port, 3-15
E
electrostatic discharge, 1-2, 1-4
emulation, 3-13
port, -viii
environment, -viii
example programs, 2-16
expansion
connectors, -ix, 2-4, 3-9
port, 3-9
port connectors (P5-3), 3-9
external
clock, -viii
interrupt, 2-4
port, 3-11
EZ-ICE
(in-circuit emulator), -ix, 3-12
compatible systems, 3-14
ADSP-2189M EZ-KIT Lite Evaluation System Manual I-3
INDEX
header, 3-13
F
FL1 pin, 2-4, 3-4
Flag IO pin, 2-4, 3-15
Flash
EPROM, 3-14
memory, -ix, 2-6
full memory mode, 3-5
H
halt loop, 2-8
hardware
debugging, 3-15
design, 3-1
installation, 1-5
restrictions, A-1
target, 2-14
header
connectors, 3-9
EZ-ICE, 3-13
Help, online, -xv
host
computer, 3-9
control, -ix
mode, 3-6
I
IACK ISR, 3-6
ICE
port interface, 3-12
session, 2-12, A-1
ICNTL register, 2-4
IMASK registers, 2-4
input jack, 3-12
see also JP3 connector
inserting breakpoints, 2-15
installation tasks, 1-3
installing
hardware, 1-5
licence, 1-6
VisualDSP++ and EZ-KIT Lite
software, 1-5
internal
memory, 3-6
RAM, 2-6
registers, 3-4
SRAM, 2-2
interrupt
button (SW1), 2-16
disabled, 2-5
nesting, 2-5
switch, 3-4
vector, 2-4, 2-8, A-1
vector table, 2-2
IO
devices, 2-4
IRQE external interrupt, 2-4, 3-4
J
J1 (audio in) connector, 1-5, 3-7
J2 (audio out) connector, 1-5, 3-7
J6 (SPORT1) connector, 2-5
JP1 (codec) connector, 2-5, 3-8, 3-15
JP2 (codec) connector, 1-5, 3-8
JP3 (codec) connector, 1-5, 3-8, 3-12
JP4 (line in gain) connector, 3-8
JP5 (line in gain) connector, 3-8
INDEX
I-4 ADSP-2189M EZ-KIT Lite Evaluation System Manual
JP6 (serial port) connector, 3-8
JP7 (restricted) connector, 3-8
JP7 (shorting jumper) connector, 3-8
JP8 (IO) connector, 3-8
jumpers, -ix, 3-8
see also connectors
L
LEDs, 1-4
FL1 LED, 2-17
LED1 (power), 3-4
LED2 (data out), 3-4
LED3 (data input), 3-4
LED4 (FL1 pin), 3-4
LED5 (reset), 3-4
power LED, 1-4, 2-15
license
installation, 1-6
restrictions, 2-2
limited warranty, i-ii
line level
inputs, -viii, 3-8
outputs, -viii
loading programs, 2-14
M
memory
buffer, 2-16
checking, 2-6, 2-14, 3-13
map, 2-2
microphone
amplifier, 3-8
input, 3-8
microphone inputs, -viii
mode selection (SW3) switch, 3-5
monitor program, -viii, -ix, 2-4, 2-6,
2-7, 2-9, A-1
O
output
buffer, 2-16
jack, 3-12
overlay memory, 2-16
P
P3 (expansion) connector, 3-9
P4 (expansion) connector, 3-9
P5 (expansion) connector, 3-9
P6 (EZ-ICE) connector, 3-9
P7 (power) connector, 1-4, 3-9
P8 (RS-232) connector, 1-4, 3-9, 3-15
package contents, 1-2
POST
routines, 2-5, 2-7
power
cable, 1-2
connecting, 3-15
jack (J4), 3-11
source, -viii
specifications, 3-12
supply, 1-2, 3-15
primes program, 2-16
program
booting, 3-13
execution, 3-5
memory, 2-2, 3-6
size, 2-2
storage, 3-3
ADSP-2189M EZ-KIT Lite Evaluation System Manual I-5
INDEX
programmable flags, 2-4
programmable LEDs
see LEDs
push buttons, -ix, 3-4
SW1 (external ISR) switch, 2-4, 2-16,
3-4
SW2 (reset) switch, 2-15, 3-4
SW3 (mode section) DIP switch, 3-5
PWM_EVENT pins, 3-9
R
read/write memory, 2-8
register checking, 2-14, 3-13
reset, 2-5, 3-3
button (S1), A-1
button (SW1), 3-15
types, 2-6
RESET command, 2-6, 3-14
resetting EZ-KIT Lite, 2-15
Restart command, 2-15
RS-232
cable, 1-2, 1-4
interface, -viii, 2-5
signal levels, 3-9
RX interrupt, 2-10
S
serial numbers, 1-6
serial ports, -viii, -ix, 1-2, 2-5
setting
breakpoints, 2-15, 3-13
hardware, 1-4
shorting jumper, 3-8
simulator session, 2-12
Single Step command, 2-8
software
breakpoints, 2-9
installation, 1-5
SPORT0 port, 2-5, 2-10, 3-15
SPORT0 TX interrupt, 2-7, 2-10
SPORT1 port, 2-5, 3-15
SRAM, 2-2
standard memory checks, 2-6
starting Visual DSP++, 2-12
stepping, 2-15, 3-13
stereo mini jacks, 3-12
SW1 (external ISR) switch, 2-4, 2-16,
3-4
SW2 (reset) switch, 2-15, 3-4
SW3 (mode) DIP switch, 3-5
switches, 3-3, 3-4
see also push buttons
synchronization pulse, 2-10
synchronous serial port, 3-15
system
architecture, 3-2
EZ-ICE compatible, 3-14
requirements, PC, 1-3
T
testing
memory, 2-6
processor, 3-9
UART, 2-6
Timer ISR, 2-4, 2-8, A-1
TPERIOD register, 2-17
transmitted loop back routine, 2-6
TX interrupt, 2-10
INDEX
I-6 ADSP-2189M EZ-KIT Lite Evaluation System Manual
U
UART, 2-5
baud rate, 2-8
initializing, 2-6
ISR, 2-8
protocol, 3-15
test protocol, 2-6
user LEDs
see LEDs
V
VisualDSP++, 1-2
documentation, -xvi
installation, 1-5
interface, 2-11
license, 1-6
online Help, -xv
requirements, 1-3
ADSP-2189M EZ-KIT Lite Evaluation System Manual I-7
INDEX