![](data:image/jpeg;base64,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)
3
CMOS-9HD
three features to control clock skew: the standard Digital
PLL (DPLL) working at frequencies up to 100 MHz for chip-
to-chip skew minimization, the multiplying digital PLL
providing frequencies up to 200 MHz, and Clock Tree
Synthesis (CTS). CTS — supported by an NEC proprietary
design tool — is used for clock skew management through
the automatic insertion of a balanced buffer tree. The clock
tree insertion method minimizes large-capacitive trunks
and is especially useful with the hierarchical, synthesized
design style being used for high-integration devices. RC
values for actual net lengths of the clock tree are used for
back annotation after place and route operations. A skew
as low as ±100 ps can be achieved.
Accurate Design Verification. Nonlinear timing calculation
is a very important requirement of the high-density, deep
sub-micron ASIC designs. NEC makes use of the increased
accuracy delivered by the nonlinear table look-up delay
calculation methodology and offers consistent wire load
models to ensure a high accuracy of the design verification.
Design Rule Check. A comprehensive design rule check
(DRC) program reports design rule violations as well as
chip utilization statistics for the design netlist.
The generated report contains such information as net
counts, total pin and gate counts, and utilization figures.
Layout. During design synthesis, wire load models are
used to get delay estimations in a very early state of the
design flow. In general, there’s no need for customers to
perform the floorplanning to meet the required timing.
During layout, enhanced in-place optimization (IPO)
features of the layout tools and engineering change order
(ECO) capabilities of the synthesis tools are used to
optimize critical timing paths defined by the given timing
constraints. This feature can reduce the total design time.
Test Support
The CMOS-9HD family supports automatic test generation
through a scan test methodology. It includes internal scan,
boundary scan (JTAG) and built-in-self-test (BIST)
architecture for easy and high-performance production
RAM testing. This allows higher fault coverage, easier
testing and faster development time.
Supplemental Publications
This data sheet contains preliminary specifications and
operational data for the CMOS-9HD gate array family.
Additional information is available in NEC’s CMOS-9HD
Design Manual, Block Library, Memory Macro Design
Manual and other related documents.
Please call your local NEC design center for additional
information; see the back of this data sheet for locations
and telephone numbers.
Table 3. CMOS-9HD RAM Types
Type Mode Ports Bit Range Word Range
Compiled Sync. 1 2-128 bits 4-1K words
2-word incr.
Sync. 2 2-128 bits 4-1K words
2-word incr.
Block High-speed 1 4-10 bits 16-64 words
Sync.
High-speed 2 4-10 bits 16-64 words
Sync.
High-Speed 1 4-10 bits 16-64 words
Async.
High-Speed 2 4-10 bits 16-64 words
Async.
Packaging and Test
CMOS-9HD gate arrays support automatic test generation
through a scan-test methodology, which allows higher fault
coverage, easier testing and quicker development time.
NEC also offers optional BIST test structures for RAM
testing.
NEC offers advanced packaging solutions including Tape
Ball Grid Arrays (TBGA), Plastic Ball Grid Arrays (PBGA),
Fine Pitch Ball Grid Arrays (FPBGA), Chip Size Packages
(CSP), Plastic Quad Flat Packages (PQFP), Low Profile
Plastic Quad Flat Packages (LQFP), Thin Plastic Quad
Flat Packages (TQFP), and Pin Grid Arrays (PGA).
Please call your local NEC ASIC Design Center for a listing
of available master/package combinations.
CAD Support
The CMOS-9HD family is fully supported by NEC’s
sophisticated OpenCAD design framework, CMOS-9HD
maximizes design quality and flexibility while minimizing
ASIC design time.
NEC’s OpenCAD system allows designers to combine the
EDA industry’s most popular third-party design tools with
proprietary NEC tools, including those for advanced
floorplanner, clock tree synthesis, automatic test pattern
generation (ATPG), full-timing simulation, accelerated
fault grading and advanced place and route algorithms.
The latest OpenCAD system is open for sign-off using
standard EDA tools. NEC offers RTL- and STA- (Static
Timing Analysis) sign-off procedures to shorten the ASIC
design cycle of high-complexity designs.
Support of High-Speed Systems. High-speed systems
require tight control of clock skew on the chip and between
devices on a printed circuit board. CMOS-9HD provides