5
EA-C10
CAD Support
NEC takes up the challenges of the new ultra-high-
density 0.25 µm technology by having close relationships
with leading EDA vendors to fulfill the design require-
ments during the whole design flow.
Fully supported by NEC’s sophisticated OpenCAD design
framework, EA-C10 maximizes design quality and flex-
ibility while minimizing ASIC design time.
NEC’s OpenCAD system allows designers to combine
the EDA industry’s most popular third-party design tools
with proprietary NEC tools, including those for advanced
floorplanner, clock tree synthesis, automatic test pattern
generation (ATPG), full-timing simulation, accelerated
fault grading and advanced place and route algorithms.
The latest OpenCAD system is open for sign-off using
standard EDA tools. NEC offers RTL- and STA-(Static
Timing Analysis) sign-off procedures to shorten the ASIC
design cycle of high-complexity designs.
Support of High-Speed Systems. High-speed systems
require tight control of clock skew on the chip and between
devices on a printed circuit board. CB-C10 provides two
features to control clock skew: the Digital PLL (DPLL)
working at frequencies up to 250 MHz for chip-to-chip
skew minimization and Clock Tree Synthesis (CTS).
CTS — supported by an NEC proprietary design tool — is
used for clock skew management through the automatic
insertion of a balanced buffer tree. The clock tree insertion
method minimizes large-capacitive trunks and is especially
useful with the hierarchical, synthesized design style
being used for high-integration devices. RC values for
actual net lengths of the clock tree are used for back
annotation after place and route operations. A skew as
low as ±60 ps can be achieved.
Accurate Design Verification. Nonlinear timing
calculation is a very important requirement of the high-
density, deep sub-micron ASIC designs. NEC makes use
of the increased accuracy delivered by the nonlinear table
look-up delay calculation methodology and offers
consistent wire load models to ensure a high accuracy of
the design verification.
Design Rule Check. A comprehensive design rule
check (DRC) program reports design rule violations as
well as chip utilization statistics for the design netlist. The
generated report contains such information as net counts,
total pin and gate counts, and utilization figures.
Layout. During design synthesis, wire load models are
used to get delay estimations in a very early state of the
design flow. In general, there’s no need for customers to
perform the floorplanning to meet the required timing.
During layout, enhanced in-place optimization (IPO)
features of the layout tools and engineering change order
(ECO) capabilities of the synthesis tools are used to
optimize critical timing paths defined by the given timing
constraints. This feature can reduce the total design time.
Test Support
The EA-C10 family supports automatic test generation
through a scan test methodology. It includes internal
scan, boundary scan (JTAG) and built-in-self-test (BIST)
architecture for easy and high-performance production
RAM testing. This allows higher fault coverage, easier
testing and faster development time.
Test of embedded megamacros is supported from NEC’s
test bus concept, which allows the use of predefined test
pattern sets for integrated core macros.
Supplemental Publications
This data sheet contains preliminary specifications and
operational data for the EA-C10 embedded array family.
Additional information is available in NEC’s EA-C10 Design
Manual, Block Library and other related documents.
Please refer also to the CMOS-10 and CB-C10 data
sheets to get more information about 0.25 µm gate array
and cell-based ASIC products.
Please contact your local NEC design center for additional
information; see the back of this data sheet for locations
and telephone numbers.